fpga IEEE PAPER 2018





Design and Implementation of FPGA Based Quadcopter
free download

ABSTRACT This work aims to design and implement a digital flight controller on a FPGA prototype board for stabilizing a Quadcopter unmanned aerial vehicle (UAV). The purpose of the project was to access the feasibility of using an FPGA in the stabilized control of an

Designing scalable FPGA architectures using high-level synthesis
free download

ABSTRACT Massive spatial parallelism at low energy gives FPGAs the potential to be core components in large scale high performance computing (HPC) systems. In this paper we present four major design steps that harness high-level synthesis (HLS) to implement

High-Throughput Lossless Compression on Tightly Coupled CPU- FPGA Platforms
free download

ABSTRACT Data compression techniques have been widely used to reduce data storage and movement overhead, especially in the big data era. While FPGAs are well suited to accelerate the computation-intensive lossless compression algorithms, big data

New slow-control FPGA IP for GBT based system and status update of the GBT- FPGA project
free download

The GBT- FPGA part of the GBT (GigaBit Transceiver) project framework, is a VHDL-based core designed to offer a back-end counterpart to the GBTx ASIC, a radiation tolerant 4.8 Gb/s optical transceiver. The GBT-SCA (Slow Control Adapter) radiation tolerant ASIC is also part

Circuit and System Design for Optimal Lightweight AES Encryption on FPGA .
free download

ABSTRACT The substitution box (or commonly termed as S-Box) is a non-linear transformation, and known as the bottleneck of the overall operation in AES cipher. Due to recent emergence of high performance and lightweight applications, the required optimum

Real-time FPGAbased Anomaly Detection for Radio Frequency Signals
free download

ABSTRACT We describe an open source, FPGA accelerated neural network-based anomaly detector. The detector derives its training set from observed exemplar data and performs continuous learning in software via stochastic gradient descent, thus proceeding in an

CAD Tool Flow for Variation-Tolerant Non-Volatile STT-MRAM LUT based FPGA
free download

ABSTRACT Field Programmable Gate Array ( FPGA ) is a reconfigurable circuit and it is used for various applications such as image processing, digital signal processing and neural network. FPGA adopts a logic circuit called Look-Up Table (LUT) as a basic circuit structure

An FPGA Implementation of Low Dynamic PowerArea Optimized 32-Bit Reversible ALU
free download

ABSTRACT Todays Computer chips are facing a severe problem with power dissipation in addition to that heat generation. Reversible logic reduces power consumption as zero- energy computation has inspired it. It has various areas envisioned for its applications; they

Exploration and Tradeoffs of Different Kernels in FPGA Deep Learning Applications
free download

Convolution Function to compute next layer Dot Pooling or subsampling layer to reduce

Design and Implementation of Parallel Micro-programmed FIR Filter Using Efficient Multipliers on FPGA
free download

ABSTRACT Impulse Response Filter plays an important part in digital signal processing applications such as video, audio and image processing. The performance of FIR filter is improved by using efficient multipliers and adders. In this paper 8 tap parallel ABSTRACT We present an implementation of fixed-latency giga-bit serial links in a low-cost Xilinx field-programmable gate array. The implementation is targeted for a data packet router in the upgrade of the ATLAS muon spectrometer. The router serves as a packet switch. It

FPGA Implementation of Single Precision Floating Point Multiplier Using High Speed Compressors
free download

ABSTRACT Floating point multiplier is one of the vital concerns in every digital system. In this paper, the concepts of High speed compressors are used for the implementation of a High speed single precision binary Floating point multiplier by using IEEE 754 standard

2-D Spectral Analysis Algorithm Development for Heart Beat Estimation from Vowel Speech Signal using MATLAB and its Hardware Implementation on FPGA
free download

D Raj 2018 14.139.60.7 ABSTRACT This dissertation presents a non-contact heart rate extraction algorithm using vowel speech signal. Two-dimensional spectral analysis technique is used detecting the heart beat hidden inside voice sample. Short time Fourier Transform method is applied to

Efficient String Matching FPGA for speed up Network Intrusion Detection
free download

ABSTRACT : Malicious attacks and threats over network can be identified and prevented by Intrusion detection system (IDS). Essential ability of every intrusion detection system is to search and find packet content that can matches distinguished attacks. An open source

A REVIEW OF UNDERWATER IMAGE ENHANCEMENT BY WAVELET DECOMPOSITION USING FPGA
free download

ABSTRACT Underwater images affect due to poor color contrast and poor visibility. These problems emerge due to the scattering of light and refraction of light while penetrate into rarer to denser medium. The problems result in limited usage of the images. In terms of

Leaky Wires: Information Leakage and Covert Communication Between FPGA Long Wires
free download

ABSTRACT Field-Programmable Gate Arrays (FPGAs) are integrated circuits that implement reconfigurable hardware. They are used in modern systems, creating specialized, highly- optimized integrated circuits without the need to design and manufacture dedicated chips

FPGA Implementation of Biometric based Elevator Controller
free download

ABSTRACT In this technical world, with the increasing in the number of skyscrapers, malls, commercial complexes, hotels etc. the need of elevator/lift is essential and it is now become an important part of every skyscraper. It is a device that carries people or luggage to their

Implementation of FPGA Based Image Processing Algorithm Using Xilinx System Generator
free download

ABSTRACT This paper provides the method of image processing using Xilinx System Generator. Xilinx System Generator has necessary libraries to assist various types of algorithms. It is integrated with Matlab Simulink environment in this work. Model based

Implementation Sobel Edge Detector on FPGA
free download

ABSTRACT Recently, reconfigurable digital image processing algorithm has become growing research area in field of real-time embedded system. The edge detection algorithms are one of key area in digital image processing for object recognition or detection. These algorithms

Design and Implementation of Ternary Memory Using FPGA
free download

ABSTRACT : Ternary content addressable memory (TCAM) is a memory with some special characteristics. TCAM performs high speed parallel search operations and the operation done in single clock cycle. But TCAM having some limitations as compared with SRAM

Design of High speed and dynamic architecture for color conversion with FPGA for real time processing
free download

Summary Due to high rise in demand for video processing on hardware FPGA implementation of various image processing algorithm has become a necessity. Lot of research work is being done in the field of image processing and video processing on

A High-Resolution Supply Voltage Sensor Design and Its Performance Evaluation on an FPGA
free download

ABSTRACT Nowadays, integrated circuits (ICs) have higher integration densities. The simultaneous switching activities that are synchronized with a single global clock is one of the main causes that produce voltage drops across the power distribution network (PDN) of Heterogeneous computing uses several types of processor and accelerator to optimally execute a computational task. It is a computing architecture for a wide range of apparatus from low-power embedded applications to high-performance computing. Various

FPGA Prototyping of Ultrasound signal processing and Ultrasound Front-end Processing hardware development
free download

Pratyusha Pareddy, Co-Founder and CEO of NemoCare, received the award from Kiran Mazumdar Shaw, Chairperson and Managing Director of Biocon Limited, during the National Conference onTechnological Empowerment of Womenat the Vigyan Bhavan

Precision Optimisations in FPGA Implementations of Machine Learning
free download

ABSTRACT Machine learning is fast becoming a cornerstone in many data analytic, image processing and scientific computing applications. Depending on the deployment scale, these tasks can either be performed on embedded devices, or larger cloud computing

Intelligence Elements and Performance of the FPGAbased DAQ of the COMPASS Experiment
free download

Driven by the need of a highly scalable and high-performance computing architecture for data acquisition, the COMPASS experiment at CERNs Super Proton Synchrotron (SPS) developed a new Data Acquisition System (DAQ) from scratch using a novel approach to the

Evaluation of FPGA Design and Implementation of Improved Systolic Architectures for Variable Length Median Filters
free download

ABSTRACT FPGAs are efficiently used for real-time systems implementation according to their ability of parallel processing. This paper evaluate the performance of an efficient FPGA based hardware design of improved systolic architectures for median filtering algorithms

Design Improvement of Cryptographic Algorithm for Real Time Speech Signals and their Implementation on FPGA
free download

P Sharma 2018 14.139.60.7 ALGORITHM FOR REAL TIME SPEECH SIGNALS AND SCHOOL OF VLSI DESIGN AND EMBEDDED SYSTEMS SCHOOL OF VLSI DESIGN AND EMBEDDED SYSTEMS I hereby declare that the work which is being presented in the dissertation entitled Design Improvement

Design of an FPGA based Control System for Robot
free download

ABSTRACT -Robots save workers from performing dangerous tasks. They can work in hazardous condition, that human just cant do. Robots main position in society is to assist humans by taking on the jobs that are dirty, dull or dangerous. As the work done in the field

K-means Parallelism on FPGA
free download

ABSTRACT NORTHEASTERN UNIVERSITY Prof. Miriam Leeser Department of Electrical and Computer Engineering Master of Science by Kai Huang The K-means algorithm, which partitions observations into different clusters, is often used for extremely large dataset

To Design 16 bit Synchronous Microprocessor using VHDL on FPGA
free download

ABSTRACT -The project aims at designing a 16 bit synchronous microprocessor using vhdl and the implementation of its components in FPGA (Field Programmable Gate Array). The project gives description of design and simulation of the modules like the ALU (Arithmetic and logic

Effective Replacement of FPGA for Microcontrollers in Home Automation
free download

ABSTRACT Nowadays the Home automation is a demanded Application/project of every class of people to make their life dynamic and secure. Most of these Home automation systems are developed using Microcontrollers with the help of various sensors to monitor the home

FPGA Implementation of Diode Clamped Multilevel Inverter for Speed Control of Induction Motor
free download

ABSTRACT This work proposes FPGA implementation of Carrier Disposition PWM for closed loop seven level diode clamped multilevel inverter in speed control of induction motor. VLSI architecture for carrier Disposition have been introduced through which PWM signals are fed

Hardware Implementation Issues of FPGA based Prototyping for Hysteresis Current Controlled Three Phase PWM Rectifier
free download

ABSTRACT Nowadays Field Programmable Gate Arrays ( FPGA ) are considered as one of the most advanced and efficient hardware solution to implement control algorithms for power electronic converters. The main features that makes the FPGAs superior to other

Design and Analysis of a Random Number Generator on FPGA
free download

ABSTRACT Random numbers are used in a wide variety of applications. True random number generators are slow and expensive for many applications while pseudo random number generators (RNG) suffice for most applications. Although a majority of random

Transient and Permanent Adaptive Fault Classifier for FPGA Applications in the Space
free download

ABSTRACT : Field Programmable Gate Array devices (FPGAs) are used in many applications. FPGAs are subjected to faults especially in the space where they are subjected to radiation. Faults in FPGAs may be recoverable (transient) or non-recoverable (permanent)

FPGA Realization of Fault Diagnostic and Fault Tolerant Scheme for Digital Circuits
free download

ABSTRACT The paper echoes to formulate a sequence for injecting, detecting and healing the random occurrence of stuck at faults in combinational circuits. The philosophy involves the immaculate use of an LFSR to generate interconnect fault patterns in the passage of

Speed Traffic-Sign Number Recognition on Low Cost FPGA for Robust Sign Distortion and Illumination Conditions
free download

ABSTRACT -In this paper, we propose a hardware-oriented robust speed traffic-sign recognition algorithm which can process real-time for Advanced Driving Assistant System (ADAS). In difficult conditions, such as sign distortion in various angle or at night and rain, the proposed

FPGABased Electro Cardio Graphy Signal Analysis System using Least Square Linear Phase Finite Impulse Response Filter
free download

ABSTRACT Proposed design for analyzing electrocardiography (ECG) signals. This methodology employs high pass least-square linear phase finite impulse response (FIR) filtering technique to filter out the baseline wander noise embedded in the input ECG signal

Implementation of Iris Recognition System using FPGA
free download

ABSTRACT Iris recognition is a standout amongst the most exact and high certainty for user verification technique that used today. The general purpose iris recognition system are of low speed and not portable. Hence there is a need to make use of dedicated hardware for CSE PROJECTS

FREE IEEE PAPER AND PROJECTS

FREE IEEE PAPER