fpga-field programmable gate arrays-research papers-2012 section 10



MIA analysis of FPGA BPMs and beam optics at APS
free download

D JI, C WANG, Q QIN ,cpc-hepnp.ihep.ac.cn
Abstract: Model Independent Analysis, which was developed for high precision and fast
beam dynamics analysis, is a promising diagnostic tool for modern accelerators. We
implemented a series methods to analyze the turn-by-turn BPM data. Green’s functions 

 FPGA-Based Design Approaches of Keccak Hash Function
free download

Abstract—Keccak hash function has been submitted to SHA-3 competition and it belongs to
the final five candidate functions. In this paper FPGA implementations of Keccak function are
presented. The designs were coded using HDL language and for the hardware 

 Building An FPGA-Based Computing Platform
free download

M White, M Gubody, A Nicol, CG Plachta, J Strawn ,elrond.informatik.tu-freiberg.de
Abstract This work involves a student research team engaged in activities to explore the use
of FPGAs for computationally intensive applications. To learn the basics concepts and the
fundamental logic design of a processor, a research team led by two senior students is 

 Floating Point FPGA Cores: Multiplication and Addition
free download

Abstract—Scientific algorithms are typically coded in high level languages making use of
floating-point arithmetic. However, the usage of floating-point arithmetic operators in high
performance reconfigurable systems is nowadays scarce due to their area requirements. 

 Design of high speed FFT Processor Based on FPGA
free download

G Shafirulla, M Subbareddy ,ijmer.com
Abstract: It is important to develop a high-performance FFT processor to meet the
requirements of real time and low cost in many different systems. So a radix-2 pipelined FFT
processor based on Field Programmable Gate Array (FPGA) for Wireless Local Area 

 LIMITATIONS OF INCREMENTAL SIGNAL-TRACING FOR FPGA DEBUG
free download

ABSTRACT Developing state-of-the-art custom silicon can be a prohibitively expensive and
risky undertaking, due in no small part to the need to perform thorough design verification.
Field-Programmable Gate-Arrays offer a flexible platform for constructing prototypes to aid 

 Actel FPGA Based DDS for Generation of Excitation Signals for LVDT Sensors in DFCC
free download

V Punith, R Kumar, N Radhakrishnan ,irnetexplore.ac.in
Abstract: In the generation of excitation signals for Linear Variable Differential Transformer
(LVDT) sensors the Actel Libero IDE 9.1 FPGA based Direct Digital Synthesiser (DDS) is
used, instead of Programmable Array Logic (PAL) with analog circuit which is used in 

 Bio-Inspired Walking: A FPGA multicore system for a legged robot
free download

M Henrey, S Edmond, L Shannon, C Menon ,fpl2012.project.ifi.uio.no
Page 1. Bio-Inspired Walking: A FPGA multicore system for a legged robot Michael Henrey1
Sean Edmond1, Lesley Shannon2, and Carlo Menon1  More complex low level
controller • Higher levels of control • Heterogeneous FPGA with ARM processor 20 

 Direct GPU/FPGA Communication Via PCI Express
free download

R Bittner, E Ruf ,research.microsoft.com
Abstract—Parallel processing has hit mainstream computing in the form of CPUs, GPUs and
FPGAs. While explorations proceed with all three platforms individually and with the CPU-
GPU pair, little exploration has been performed with the synergy of GPU-FPGA. This is 

 FPGA Optimized Packet-Switched NoC using Split and Merge Primitives
free download

Y Huan, A DeHon ,ic.ese.upenn.edu
Abstract—Due to their different cost structures, the architecture of switches for an FPGA
packet-switched Networkon-a-Chip (NoC) should differ from their ASIC counterparts. The
CONNECT network recently demonstrated several ways in which packet-switched FPGA 

 A New Approach To Implement Parallel Prefix Adders In An FPGA
free download

SV Padmajarani, M Muralidhar
ABSTRACT Parallel prefix adder is the most flexible and widely used for binary addition.
Parallel Prefix adders are best suited for VLSI implementation. Number of parallel prefix
adder structures have been proposed over the past years intended to optimize area, fan- 

 An FPGA based Implementation of Floating-point Multiplier
free download

Abstract—In this paper we describe the parameterization, implementation and evaluation of
floating-point multipliers for FPGAs. We have developed a method, based on the VHDL
language, for producing technology-independent pipelined designs that allow compile- 

 FPGA Implementation of Generalized Maximal Ratio Combining Receiver Diversity
free download

R Ayoubi, JP Dubois, R Minkara
Abstract—In this paper, we study FPGA implementation of a novel supra-optimal receiver
diversity combining technique, generalized maximal ratio combining (GMRC), for wireless
transmission over fading channels in SIMO systems. Prior published results using ML- 

 FPGA Based Secure System Design-an Overview
free download

GS Walia, G Kuldeep, R Kapoor, AK Sharma ,International Journal of Soft
FPGA is highly addressed in different forums due to its paramount advantages over the other
platforms. Most of the secure systems are designed using SRAM based FPGAs with
additional security features provided by the manufactures. In this paper, firstly, attempts 

 The Design of High Speed FIR Filter using Improved DA Algorithm and it’s FPGA Implementation
free download

MN Bhukya, K Anjaiah, G Sravya, P Nagaraju ,ijettjournal.org
Abstract-when the DA (distributed arithmetic) algorithm is directly applied in FPGA (field
programmable gate array) to realize FIR (finite impulse response) filter, it is difficult to
achieve the best configuration in the coefficient of FIR filter, the storage resource and the 

 Development of Diagnosis System for Rolling Bearings Faults on Real Time Based on FPGA
free download

Abstract. The real-time monitoring of events in an industrial plant is an advanced technique
that presents the real conditions of operation of the machinery responsible for the
manufacturing process. A predictive maintenance program includes various rotating 

 A Simultaneous Implementation of Message Encoding using LSB StegnographyImage Compression using Lifting Scheme on FPGA
free download

AH Ansari
ABSTRACT The data in digital images can be manipulated to some extend without being
detected by human eyes. An example of such manipulations is insertion of secret
information which is often referred to as information hiding. In this research we embedded 

 Performance Evaluation of Different Averaging based Filter Designs Using Digital Signal Processor and its Synthesis on FPGA
free download

SK Shome, SRK Vadali, U Datta, S Sen, A Mukherjee
Abstract Noise has been a primary deterrent in signal transmission which results in faulty
information after signal processing, reducing their usability. It is important that signals should
be free from unwanted, random variations so that the errors caused due to faulty 

 Guaranteed Fault Recovery Time for FPGA-based TMR Circuits Employing Partial Reconfiguration
free download

E Cetin, O Diessel ,change.ws.dei.polimi.it
(FPGA) systems are increasingly susceptible to radiation-induced Single Event Upsets.
Techniques for partially reconfiguring a corrupted module of a Triple Modular Redundant
(TMR) implementation have been described in the literature. In this paper we outline a 

 IMPLEMENTATION OF AHB PROTOCOL USING FPGA
free download

MBL Mahajan, AS Hiwale, MKS Patil, GD Salunke
Abstract Resolution is a big issue in SOC (System On Chip) while dealing with number of
masters trying to sense a single data bus. The effectiveness of a system to resolve this
priority resides in its ability to logical assignment of the chance to transmit data width of the 

 An Innovative Wireless Sensor Network Protocol Implementation using a Hybrid FPGATechnology
free download

Abstract—Traditional development of wireless sensor network mote is generally based on
SoC1 platform. Such method of development faces three main drawbacks: lack of flexibility
in terms of development due to low resource and rigid architecture of SoC; low capability 

 Real Time Control of Inverted Pendulum using FPGA
free download

SS Joshi, MN Kakatkar ,ijetae.com
Abstract—The Inverted Pendulum is one of the most important classical problems of Control
Engineering. In this paper, a real-time control for stabilization of inverted pendulum is
developed using PID controller. The implementation platform chosen here is FPGA 

 A Metamorphic-Enhanced Twofish Block Cipher And Associated FPGA Implementation
free download

Abstract: The Metamorphic-Enhanced Twofish Cipher is a metamorphic cipher that uses a
variable word size and variable-size user’s key. The cipher merged two ciphers by defining a
new function using four bit-balanced operations. These operations are: XOR, INV, ROR, 

 Floating Point Unit Implementation on FPGA
free download

D Saini, B M’dia
ABSTRACT As densities of FPGA are increasing day by day, the feasibility of doing floating
point calculations on FPGAs has improved. Moreover, recent works in FPGA architecture
have changed the design tradeoff space by providing new fixed circuit functions which 

 The automation of the car access system using logical circuits FPGA
free download

G PREDUSCA, F ION, E DIACONU, C DUSCU
Abstract:-Parking is an increasingly difficult problem for many drivers in crowded cities with
limited parking spaces. Parking space management plays a decisive role in the urban
transport planning process. This article proposes to complete the automation of a parking 

 FPGA Implementation of Inverse Fast Fourier Transform in Orthogonal Frequency Division Multiplexing Systems
free download

S Mohammady, N Sulaiman, RM Sidek, P Varahram ,cdn.intechopen.com
In modern communication systems, Orthogonal Frequency Division Multiplexing (OFDM)
systems are used to transmit with higher data rate and avoid Inter Symbol Interference (ISI).
The OFDM transmitter and receiver contain Inverse Fast Fourier Transform (IFFT) and Fast 


CSE PROJECTS

FREE IEEE PAPER AND PROJECTS

FREE IEEE PAPER