BINARY TO GRAY CODE CONVERTER-VLSI PROJECT



High Performance Binary to Gray Code Converter using Transmission GATE
free download

This paper gives an idea to improve power efficiency and effective area of binary to gray code converter using very popular transmission gate technology. Some sensors send information in gray code . So this must be important to convert a given binary stream into its

Synthesis optimization of Reversible Binary to Gray Code converter -A Review
free download

Reversible logic circuits find a great importance in low power electronics many other applications like cryptography, quantum computing, digital signal processing, and computer graphics. Reversible logic circuits can be optimized by decreasing quantum cost, number of

Implementation of LFSR by Using Gray Code Converter
free download

This paper presents a novel test pattern generator which is more suitable for built in self-test (BIST) structures used for testing of VLSI circuits. The objective of the BIST is to reduce power dissipation without affecting the fault coverage. The proposed test pattern generator

DESIGN OF AREA EFFICIENT BINARY TO GRAY CODE CONVERTER USING MENTOR GRAPHICS
free download

Moores law explains the requirement of the transistors for VLSI design. It gives the empirical observation that transistor density and performance of integrated circuits, doubles every year, which was then revised to doubling every two years. Unfortunately, such performance