RTL PROJECTS -VLSI PROJECT



REGISTER-TRANSFER LEVEL Register-transfer-level abstraction is used in hardware description languages (HDLs) like Verilog and VHDL to create high-level representations of a circuit, from which lower-level representations and ultimately actual wiring can be derived. Design at the RTL level is typical practice in modern digital design.

Power reduction through RTL clock gating
free download

This paper describes a design methodology for reducing ASIC power consumption through use of the RTL clock gating feature in Synopsys Power Compiler. This feature causes inactive clocked elements to have clock gating logic automatically inserted which reduces

Efficient sequential ATPG for functional RTL circuits
free download

We present an efficient register-transfer level automatic test pattern generation (ATPG) algorithm. First, our ATPG generates a series of sequential justification and propagation paths for each RTL primitive via a deterministic branch-and-bound search process, called a

Bridging High-Level Synthesis to RTL Technology Libraries.
free download

The output of high-level synthesis typically consists of a netlist of generic RTL components and a state sequencing table. While module generators and logic synthesis tools can be used to map RTL components into standard cells or layout geometries, they cannot provide

C-based interactive RTL design methodology
free download

Much effort in RTL design has been devoted to developing push-button types of tools. However, given the highly complex nature of RTL design, interactive design space exploration with assistance of tools and algorithms can be more effective. In this report, we

Methodology for repeater insertion management in the RTL , layout, floorplan and fullchip timing databases of the Itanium microprocessor
free download

Page 1. 99 Methodology for Repeater Insertion Management in the RTL , Layout, Floorplan and Fullchip Timing Databases of the Itanium Microprocessor Rory McInerney Kurt Leeper Troy Hill Intel Corp. Intel Corp. Intel Corp. SC12-408 SC12-405 RA2-350 Intel Corp. Intel Corp. Intel

RTL coding styles that yield simulation and synthesis mismatches
free download

This paper details, with examples, Verilog coding styles that will cause a mismatch between preand post-synthesis simulations. Frequently, these mismatches are not discovered until after silicon has been generated, and thus require the design to be re-submitted for a

Optimized RTL design and implementation of LZW algorithm for high bandwidth applications
free download

This paper presents a high-speed low-complexity Register Transfer Logic ( RTL ) design and implementation of the lossless Lempel-Ziv-Welch (LZW) algorithm on Xilinx Virtex II device family for High Bandwidth Applications. Comparative analysis of the proposed design with

Formal verification of floating-point RTL at AMD using the ACL2 theorem prover
free download

We describe a methodology for the formal verification of the correctness, including IEEE- compliance, of register-transfer level models of floating-point hardware designs, and its application to the floating-point units of a series of commercial microprocessors produced by

RTL : reduced texture spectrum with lag value based image retrieval for medical images
free download

Medical images have become the recent key investigation tools for medical diagnosis and treatment planning. Due to the advent of digital imaging the need of data storage and retrieval of medical images increased rapidly. Some difficulties in retrieving the medical

Using RTL -to-C++ translation for large SoC concurrent engineering: A case study
free download

GlobespanVirata develops complex SoC devices primarily for digital subscriber link (DSL) applications. These SoC devices generally consist of a highly flexible communications processor with multiple CPU cores on-chip, external interfaces, RAMS and often integrated

RTL emulation: the next leap in system verification
free download

To answer the second question, semiconductor fabrications can now put millions of logic gates on a single chip using deep sub-micron technology. This rapid increase in the complexity of chips and systems has outstripped traditional verification techniques. This is

Automatic generation of fault tolerant VHDL designs in RTL
free download

Fault Tolerance (FT) is an important issue in electronic devices. Detecting and even correcting internal faults during normal operation makes possible the usage of these circuits in critical applications. FT has been taken into account for many years during design process

RTL to GDSII-from foilware to standard practice
free download

University of California, San Diego ically correct or 100% layout-rule correct if it doesnt meet timing constraints. Traditionally, static timing analysis was run at the beginning of the process at a milestone called RTL handoff, and at the end of the flow at a mask sign-off

A FSM extractor for HDL description at RTL level
free download

Due to the increasing complexity of modern circuit designs, HDL based design methodology is getting popular. Because Finite State Machines (FSMs) and datapaths have significantly different properties, dealing them with two different ways is a trend of many CAD tools

RTL implementation of Viterbi decoder using VHDL
free download

Forward Error Correction techniques are utilized for correction of errors at the receiver end. Convolutional encoding is an FEC technique that is particularly suited to a channel in which the transmitted signal is corrupted mainly by additive white Gaussian noise (AWGN). Viterbi

Characteristics of seismicity patterns prior to the M 5 earthquakes in the Koyna Region, Western India-application of the RTL algorithm
free download

Method The analysis of earthquake data is performed using the well established method known as RTL algorithm which uses the three parameters called R (region around the earthquake epicenter), T (time) and L (rupture

X-propagation woes: Masking bugs at RTL and unnecessary debug at the netlist
free download

This paper presents a complete and practical methodology to comprehensively solve the X problem in RTL design. It begins by reviewing common sources of Xs, and describes how they cause functional bugs as well as unwarranted debug that prolong verification cycles

A hybrid approach for equivalence checking between system level and RTL descriptions
free download

In this paper we present a hybrid method to check the equivalence between an algorithmic specification in C (ASC) as a golden model and RTL implementation in Verilog ( RTL ). This method is able to look for equivalent nodes automatically without needs for correspondence

Evaluation of RISC-V RTL with FPGA-accelerated simulation
free download

This paper presents a fast and accurate simulation methodology for performance, power, and energy evaluation in the hardware/software co-design flow. Cycle-level microarchitectural software simulation is the bottleneck of the hardware/software co-design