FPGA Field Programmable Gate Array IEEE PAPER 2016



Design FPGA-Based CL-Minimum Control Unit
free download

Abstract Most of controllers need real time mobility operation so one of the most important devices which can be used to solve this challenge is Field Programmable Gate Array (FPGA). FPGA can be used to design a controller in a single chip Integrated Circuit (IC).

Side-Channel Watchdog: Run-Time Evaluation of Side-Channel Vulnerability in FPGA-Based Crypto-systems
free download

Abstract. Besides security against classical cryptanalysis, its important for cryptographic implementations to have sufficient robustness against side-channel attacks. Many countermeasures have been proposed to thwart side channel attacks, especially power

Lin-analyzer: a high-level performance analysis tool for FPGA-based accelerators
free download

ABSTRACT The increasing complexity of FPGA-based accelerators, coupled with time-to- market pressure, makes high-level synthesis (HLS) an attractive solution to improve designer productivity by abstracting the programming effort above registertransfer level (

SSTL Input/Output Standard Based Energy Efficient Multiplier Design Using Urdhva Tiryagbhyam on 28nm FPGA
free download

Abstract We have taken different set of frequencies and done study of power by varying frequencies and with different SSTL Standard Used for Input/Outputs at fixed temperature ie 25 degree Celsius. SSTL family includes SSTL15, SSTL18_II, SSTL135, SSTL12,

FPGA IMPLEMENTATION OF A CONTROL SYSTEM FOR THE LANSCE ACCELERATOR
free download

Abstract As part of the modernization of the Los Alamos Neutron Science Center (LANSCE), a digital low level RF (LLRF) system was designed. The LLRF control system was implemented in a Field Programmable Gate Array (FPGA) using embedded Experimental

FPGA SPARTAN 3A Implementation of message based Arbitration in CAN Protocol
free download

ABSTRACT CAN is a protocol used in Automobiles Industry. The Electronic Control Units (ECUs) in automobiles need to communicate with each other. CAN bus is used for this purpose. In a multi ECU environment, arbiter plays the most important role in CAN bus.

FPGA Implementation of Fast Fourier Transforms
free download

Abstract: With the advent of new FPGA technology, one can embed more complex systems on a single chip, at this point design process become more critical and complex. This problem could be overcome with available latest CAD tools like design, simulation,

DEVELOPMENT OF FPGA-BASED BUNCH-BY-BUNCH BEAM CURRENT MONITOR
free download

Abstract Bunch-by-bunch (BxB) beam current measurement is an important method to study filling pattern of injection and beam instability threshold for multi-bunch operation storage ring, also, necessary equipment for top-up injection. A high-speed high-precision ADC

SSTL IO Standard Based Low Power Arithmetic Design Using Calana Kalanabhyam On FPGA
free download

Abstract Vedic mathematics consists of 16 formulas. Calanakalanabhyam is a Sanskrit word meaning Sequential motion. Using this Vedic technique, we will find the roots of the equation in few seconds. We have tried to make an energy efficient Calanakalanabhyam

An Efficient AMI-Based Cache System on FPGA Computer Systems
free download

Abstract An FPGA (Field Programmable Gate Array) is an integrated circuit on which developers can implement their custom circuits. Since the design of soft processors, which are processors implemented on FPGAs, can be modified more flexibly than conventional

Flexray Communication Controller for Intra-Vehicular Communication and Its Realization inFPGA
free download

ABSTRACT Intra-vehicular communication describes an exchange of data within the ECUs (Electronic Control Units) of the vehicle which are involved in vehicular applications. The Flex Ray protocol is a unique time-triggered protocol that provides options for

Implementation and Reconfiguration of Basic Digital Modulation and Demodulation Techniques on FPGA
free download

Abstract In modern communication systems the Autonomous modulation and demodulation technique is done using proper signal detection schemes and prominent receiver structure. The implementation of BPSK, FSK, ASK modulation and demodulation techniques are

Design of an Static Reconfiguration Based on FPGA System
free download

Abstract Aiming at the problem of limited logical resources of FPGA and low rates of internal resource utilization, the design of the static reconfiguration system was introduced in this paper. Based on the structure of CPLD matching FPGA, the static reconfiguration system

Voltage Scaling Based Wireless LAN Specific UART Design Based on 90nm FPGA
free download

Abstract This research work emphasizes on the design of the wireless LAN specific UART. The frequencies that are standardized for the wireless LANs have been analyzed by scaling the voltage. The aim is to find out the most energy efficient specifications for the UART.

Implementation of fault tolerant soft processor on FPGA
free download

Abstract This paper presents design of fault tolerant soft processor and implementation of it on FPGAs. Due to configurability feature and increasingly complex architecture of Field Programmable Gate Arrays (FPGAs) have brought advantages to many applications such

A flexible FPGA-to-FPGA communication system
free download

Abstract:In high-performance computing systems, each computing node communicates via a high-speed serial bus to ensure sufficient data transfer bandwidth. However, each computing node of different bus protocols is very difficult to communicate directly, which is

SSTL I/O Standard Based Low Power Thermal Aware Vedic Multiplier Design on FPGA
free download

Abstract This work is about designing of thermal aware low power Vedic multiplier using Stub-Series Terminated Logic (SSTL). The design of Vedic multiplier is a part of Vedic arithmetic circuits design project.Urdhva-Tiryagbhyam sutra is used to design the Vedic

Design and Implementation of LDPC codes and TURBO Codes using FPGA
free download

Abstract-Error correction code technique are utilized for correction of error in the transmitted data at receiver end. Thus a brief comparison of LDPC codes and turbo codes allows significant reduction in memory consumption and provides the encoder design a great

FPGA Implementation for Binocular Stereo Matching Algorithm Based on Sobel Operator
free download

Abstract Aiming at the low accuracy of stereo matching algorithm caused by the larger gray change, an improved algorithm is proposed. Sobel operator is used to compute the gradient of pixels. Based on the gradient histogram, the adaptive thresholds are derived and the

Design of Vedic Multiplier in Image Compression and FPGA Implementation Using Discrete Wavelet Transform (DWT) Algorithm
free download

Abstract Image compression is the application of Data compression on digital images. A fundamental shift in the image compression approach came after the Discrete Wavelet Transform (DWT) became popular. In this paper, the design of DWT with new Vedic

FPGA Based V/F Control of Induction Machine with Matrix Converter
free download

Abstract: Induction motors are mainly applicable for variable speed applications. This project proposes the speed control induction motor fed by the matrix converter. Matrix converter is a single-stage converter which directly connects a three-phase voltage source to a three-

Design and Implementation of an FPGA-based Real-time Simulator for a Dual Three-Phase Induction Motor Drive
free download

Abstract This paper presents a digital hardware implementation of a real-time simulator for a multiphase drive using a field-programmable gate array (FPGA) device. The simulator was developed with a modular and hierarchical design using very high-speed integrated

Towards Scalable and Efficient FPGA Stencil Accelerators
free download

ABSTRACT In thistargeting FPGA-based accelerators. The goal for our design is to provide scalable high throughput designs that can efficiently process iterative stencil programs with large size parameters,

FPGA Based High Speed SPA Resistant Elliptic Curve Scalar Multiplier Architecture
free download

Abstract The Higher computational complexity of an elliptic curve scalar point multiplication operation limits its implementation on general purpose processors. Dedicated hardware architectures are essential to reduce the computational time, which results in a substantial

CSEE 4840 Project Design: FPGA JPEG Compression Accelerator
free download

When technology rapidly evolves, computing architectures must be very flexible and easy to upgrade. FPGAs are considered as an very attractive solution for image processing implementation, not only because of it integrates millions of gate and a large number of

Low-Cost Ultrasonic Obstacle-Avoidance System using FPGA
free download

Abstract There have been numerous accounts of research relating to navigation assistance using vision sensors for those who are visually impaired. The struggle now is to find a solution that is low-powered, easily portable, low-cost, and still effective, which could

Video Graphics Array interfacing through Artix-7 FPGA
free download

Abstract-This paper presents the design of VGA controller and it is used to establish an interconnection between a LCD screen [8][7] and Artix-7 [4] FPGA kit through VGA port to display various colours on the LCD Monitor. The board will be a Digilent Nexys4 DDR

FPGA implementation of an adaptive neuro fuzzy inference system for controller driven insulin injection system
free download

Abstract-Diabetes is one of the pandemic diseases and causes 4 million deaths per year and ranks fifth by causing specific mortality in the most high-income countries. One should maintain the glucose concentration in blood, within the normal range (70-120 mg/dl or 3.6-

Early Dependability Analysis of FPGA-Based Space Applications Using Formal Verification
free download

SRAM-based FPGAs are increasingly attractive in the aerospace industry for their field programmability and low cost. Unfortunately, they suffer from cosmic radiation induced Single Event Effects (SEEs). In safety-critical applications, the dependability of the design

Techniques for Design and Implementation of an FPGA-Specific Physical Unclonable Function
free download

Abstract Physical unclonable function (PUF) makes use of the uncontrollable process variations during the production of IC to generate a unique signature for each IC. It has a wide application in security such as FPGA intellectual property (IP) protection, key

Research and Realization of Transient Disturbance Detection Algorithm Based Coiflet Wavelets and FPGA
free download

Abstract This paper proposes a Coiflet wavelet algorithm based on FPGA to realize the realtime detection of power quality transient disturbance. As to the real-time problem of wavelet algorithm software applications, the algorithm is realized on the FPGA. When

FPGA Based Network Security Using Cryptography
free download

Abstract-Secured communication is most important thing in present day situation. Need of security is increasing rapidly. No one wants their data to be seen by others. Every individual wants their data to be secured and privacy must be maintained. This requirement can be

FPGA based Architecture for Moving Object Tracking using Kalman Filter
free download

Abstract Intelligent video is a new area of research fairly wide allowing to do a study, analysis, or interpretation of digital video such as motion analysis. However, for a video surveillance system, a motion analysis task of digital video includes the detection of

FPGA Implementation of Priority Rank-Based Routing Algorithm for Low Area in NOC
free download

Abstract: Network on chip is an interconnection between several processing elements and routers. There are several possibilities for the occurrence of faults within the network. These faults degrade the performance of the network. In order to increase the performance

Synthesis and Simulation of FPGA Based RC4 Encryption Method
free download

Abstract: RC4 has been the most famous stream cipher in the history of symmetric key cryptography. RC4 has been designed by Ron Rivets in 1987; it is the most widely deployed commercial stream cipher. The applications of RC4 are in network protocols such as WPA,

An FPGA based Face Recognition System using Gabor and Local Binary Pattern
free download

Abstract:Face of a human being conveys a lot of information about identity and emotional state of the person. Nowadays, in many applications such as in the field of banking, surveillance, seminars halls and multimedia equipment are becoming more important, but

Performance Evaluation of FPGA Based Runtime Dynamic Partial Reconfiguration for Matrix Multiplication
free download

Abstract-As the speed and size of FPGA reconfigurable fabric has grown the ability to perform multiple complex parallel applications on a single device has become a reality. Currently, when a device is partially reconfiguring an area of the fabric, the fabric resource

Design and Implementation of fully pipelined 64-point FFT Processor in a FPGA
free download

Abstract This paper describes the design and implementation of a fully Pipelined 64-point Fast Fourier Transform (FFT) in a programmable logic. The FFT takes 16-bit fixed complex numbers as input and after a known pipeline Latency produces 16-bit complex values

FPGA-aware Scheduling Strategies at Hypervisor Level in Cloud Environments
free download

Abstract Current open issues regarding cloud computing include the support for non-trivial Quality of Service-related Service Level Objectives (SLOs) and reducing the energy footprint of data-centers. One strategy that can contribute to both is the integration of accelerators targeted towards a specific family of the high-end Field Programmable Gate Arrays (FPGAs) continue to remain a challenging problem. Many fast arithmetic circuits proposed over the decades may not be amenable to

FPGA Implementation of Decision Based Algorithm for Removal of Impulse Noise
free download

Abstract:Image processing is used in many fields like computer vision, remote sensing, medical imaging, robotics etc. Due to imaging systems, signal transmission, working environment and other external conditions, there would inevitably produce many kinds of

Optimizing FPGA Design For Real Time Video Content Analysis
free download

The rapid growth of camera and storage capabilities, over the past decade, and the related drop in their prices, has resulted in an exponential growth in the size of video repositories, such as YouTube. In 2012, 72 hours of videos were uploaded to YouTube every minute [6]

Online wavelets transform on a Xilinx FPGA Circuit to medical images compression
free download

ABSTRACT Knowing that, the computing process of the S. Mallat Transform algorithm is characterized by a purely sequential structure, and from the fact, the on line mode arithmetic is more suitable for the computation of this kind of operations. We propose in this paper, a

A New FPGA-based Medical Device for The Real Time Prevention of The Risk of Arrythmias
free download

Abstract In this paper it is described a new algorithm for the real time detection of the cardiac Ventricular Late Potentials (VLPs) and the design of an FPGA-based device for its implementation. To this aim the electrocardiografic (ECG) signal is recorded in high

Light-weight FPGA Implementation of FIPS140-2 Online Statistical Tests
free download

Abstract True random number generators (TRNGs) are one of the most crucial primitives of cryptographic systems. TRNGs produce independent, identically distributed, and unpredictable numbers for use in session keys, protocols, and countermeasures [1]. It is

A Parallel FPGA Implementation of Image Convolution
free download

Abstract Image convolution is a common algorithm that can be found in most graphics editors. It is used to filter images by multiplying and adding pixel values with coefficients in a filter kernel. Previous research work have implemented this algorithm on different

Analysis of FLASH Storage Architecture In Inter-Controller Network On FPGA
free download

Abstract: To store large amount of data in big data centers, hard disks are not sufficient. As the day's goes exponential growth in present technologies, flash device got introduced. So many techniques are presented to analyze and improve the big data process.

Direction of Arrival Estimation Using MUSIC Algorithm in FPGA: Hardware Software Co-Design
free download

Abstract Direction of Arrival (DOA) estimation is one of the crucial parameter for next generation mobile and broadband applications. There is a constant thrust in improving the DOA accuracy in both civilian and defense applications. The phase and amplitude based

HW/SW Co-design and Implementation of a Fountain Code for an FPGA System-on-Chip
free download

Abstract Wireless communication traffic has increased significantly over the past few decades. Communication via noisy and unreliable channel can lead to data loss or erroneous data information. This problem can be resolved using a back channel that

Real Time Pedestrian Detection Using an Infrared Camera with a FPGA
free download

Abstract This project focuses on using Infrared technology in partnership with various filtering algorithms to implement a pedestrian detection system on a Field Programmable Gate Array (FPGA). Currently pedestrians are the most vulnerable users of the road. Every

FLOATING POINT ARCHITECTURE BASED ON FPGA
free download

ABSTRACT This paper presents the floating point architecture which is specifically optimized floating point application. It can be used for implementing control logic and bit oriented operations, with a help of fine grained units. The lookup tables and floating point

FPGA Accelerated Abandoned Object Detection
free download

Abstract:In this paper, a method to address the problem of detecting abandoned object (s) in a live video feed has been presented. The proposed technique utilizes a static background modeling algorithm and identifies any object lying abandoned for a given

An FPGA stereo matching unit based on fuzzy logic. Preprint version, the final publication is available at
free download

Abstract In this article an FPGA stereo matching unit based on fuzzy logic is described. The proposed method consists of three stages: first, three similarity parameters inherent to each pixel contained in the input stereo pair are determined; later, these parameters are

Design and Implementation of efficient Reed Solomon code on FPGA for error correction in data signal
free download

Abstract-This paper presents a compact and fast field programmable gate array and we know that efficiency is one of the important parameter in order to achieve high performance in mobile communication environment using modulation and coding technique. Where as

FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
free download

ABSTRACT ASIC (Application Specific Integrated Circuit) design verification takes as long as the designers take to describe, synthesis and implement the design. The hybrid approach, where the design is first prototyped on an FPGA (Field-Programmable Gate

Implementation of Advanced Encryption Standard (AES) 192 Bit on FPGA
free download

ABSTRACT This paper presents an efficient hardware implementation of the Advanced Encryption Standard (AES) 192 bit Encryption in which we have used High Level Language (HLL) tool ISE Design Suite 14.4 Tool (Xilinx System Generator). In this work, System

DESIGN OF FPGA BASED ADAPTIVE FILTER BASED ON LMS ALGORITHM FOR ECHO CANCELLATION
free download

ABSTRACT Traditional telephony uses only a single receiver for speech acquisition. If the speaker is standing away from the telephone, the signal will be weak and there will be interference sources from room reverberation. In addition, there is acoustic echo coming

RECONFIGURABLE SWITCHING PATTERN FOR SELECTIVE HARMONIC ELIMINATION IN CASCADED MULTILEVEL INVERTER USING FPGA
free download

Abstract: This paper aims to maintain the degree of freedom even when the modulation index reduces so that harmonics can be controlled. In order to achieve the degree of freedom constant reconfigurable selective harmonic elimination technique is been

FPGA Based Direct Model Predictive Power and Current Con-trol of 3L NPC Active Front Ends
free download

Abstract Three-level neutral-point clamped (NPC) power converter is a viable candidate for high power grid-tied renewable energy generations. Direct model predictive control (DMPC) is a good alternative, in particular for multi-level converters. This work presents and

FPGP: Graph Processing Framework on FPGA
free download

[FPT11] Betkaoui B, Thomas DB, et al. A framework for FPGA acceleration of large graph problems: graphlet counting case study [ASAP12] Betkaoui B, Wang Y, et al. A reconfigurable computing approach for efficient and scalable parallel graph exploration [

FPGA BASED DIGITAL PWM SPEED CONTROLLER FOR 3 PHASE INVERTER FED BLDC MOTOR
free download

ABSTRACT Field programmable Gate Arrays are extensively used in the field of Industrial Automation especially in Speed control of BLDC motor for its hardware design flexibility. This paper discusses the digital Pulse Width Modulation (PWM) control implemented in

FPGA Implementation of Low Power and High Speed 64-Bit Multiply Accumulate Unit for Wireless Applications
free download

Abstract: The MAC operation is the main computational kernel in Digital Signal Processing (DSP) architectures. The MAC unit is considered as one of the fundamental operations in DSP and it becomes a basic component in Application-Specific-Integrated-Circuits (ASIC).

An ARM Cortex-M0 Based FPGA Platform in Teaching Computer Architecture
free download

Abstract:In this paper, we present an AMBA peripheral along with ARM Cortex-M0 processor on FPGA. The proposed platform provides the external interface signals to control the external IP based on AMBA interface. Moreover, µC/OS-II provides the way to control

Implementation of Error Correction Technique using OCC on FPGA
free download

Abstract When data is transmitted through a channel (wired or wireless), some noises may affect the reliability of data. Because of this actual information get changed. This referred as error. Therefore error detection and correction techniques are required at the receiver.

An Analytical Review on FPGA Based Autonomous Flight Control System for Small UAVs
free download

Abstract:Recently small UAVs are widely used in real world applications. In the absence of the pilot, Flight control system (FCS) is the brain of the any aircraft especially in the UAVs. FCS can use various controllers such as conventional PID Controller, combination of PID

BEHAVIORAL MODELING OF SMITH-WATERMAN ALGORITHM FOR DNA COMPARISONUSINGFPGA
free download

Abstract: Smith Waterman algorithm uses divide and conquer approach. For finding the difference between two DNA strands. For implementation of this algorithm previously structural modeling was started and continued till the configuration of the basic cell the

Speed Traffic-Sign Number Recognition on Low Cost FPGA for Robust Sign Distortion and Illumination Conditions
free download

Abstract-In this paper, we propose a hardware-oriented robust speed traffic-sign recognition algorithm which can process real-time for Advanced Driving Assistant System (ADAS). In difficult conditions, such as sign distortion in various angle or at night and rain, the

Performance Improvement in MIPS Pipeline Processor based on FPGA
free download

Abstract-The paper describes the design and synthesis of a basic 5 stage pipelined MIPS-32 processor for finding the longer path delay using different process technologies. The large propagation delay or critical path within the circuit and improving the hardware which

KAPow: A System Identification Approach to Online Per-module Power Estimation in FPGADesigns
free download

Abstract:In a modern FPGA system-on-chip design, it is often insufficient to simply assess the total power consumption of the entire circuit by design-time estimation or runtime power rail measurement. Instead, to make better runtime decisions, it is desirable to understand

FPGA Based Antilog Computation Unit with Novel Shifter
free download

Abstract-Technology demands improvements in area, speed and power day by day. Modern FPGAs are the best-suited devices for implementing complex applications that provides optimized design with minimal cost when compared to ASICs. This project presents an

An Accelerating Solution for N-body MOND Simulation with FPGA-SOC
free download

Abstract:As a modified-gravity proposal to handle the dark matter problem on galactic scales, modified Newtonian dynamics (MOND) has shown a great success. However, the N- body MOND simulation is quite challenged by its computation complexity, which appeals

FPGA Implementation of Folding Architecture in Humming bird Algorithm for Reducing Area and High Throughput
free download

Abstract:Htarget for resources constrained devices like RFID tag, smart card and wireless sensor. In this project we design the hardware implementation of humming bird cryptography algorithm based

QSoC: Implementation of a simple Queue SoC on FPGA
free download

Output DU_O_PN Produced number QCU DU_O_CN Consumed number QCU DU_O_RegSel Select SPR QCU DU_O_RegNum Select SPR address QCU DU_O_Operand Offset or immediate value QCU DU_O_ControlExe Execution unit control

FPGA Design Flow for 8-bit ALU using Xilinx ISE
free download

Abstract: The proposed paper describe an 8-bit ArithmeticLogic Unit design steps in front end VLSI Design flow. This design is verified by RTL simulation, synthesized, and implemented using Xilinx ISE Software.target FPGA,

Computer Assisted Design and Integration of FPGA Accelerators in Aerospace Systems
free download

Abstract:The integration of Field Programmable Gate Arrays (FPGAs) in an aerospace system allows to improve its efficiency and its flexibility thanks to their programmability. To exploit these devices, the designer has to identify the functionalities that have to be

A CONCURRENT ERROR DETECTION SCHEME FOR TOTALLY SELF CHECKING FPGA LOOK-UP TABLE
free download

Abstract Field Programmable Gate Arrays are widely useful in mission critical applications. FPGAs have fixed architecture; it has the capability to change function in situ for a particular application. SRAM based FPGAs are vulnerable to Single Event Upsets (SEUs), which

VLSI Design and FPGA Implementation of N Binary Multiplier Using N-1 Binary Multipliers
free download

Abstract: In many digital systems, the most important and basic component ismultiplier and adder which are recommended for implementing the concepts of DSP systems, arithmetic and logic functions and multimedia applications. In many real time digital applications,

Cryptanalysis of AES using FPGA Implementation
free download

Abstract: In an age of technological advancements, security and privacy plays an important role in day to day communication. Cryptanalysis of modern cryptography algorithm involves massive and parallel computations. In absence of the mathematical breakthroughs to a

Design and implementation of a digital FIR notch filter for the ECG signals using FPGA
free download

Abstract: This paper introduce a practical elimination of PLI (power line interference) with the frequency of 50 Hz and its 2nd, 3rd and 4th harmonics using digital FIR notch filter designed by FPGA chips Which is situated on development and education board DE2-70. To realize

An Efficient FPGA Simulink Design Based DCT Transform Architecture for Signal Denoise Application
free download

Abstract The communication industry field is mainly focused by high data transfer and more channel capacity in mobile communication. VLSI technology is used to modify any type digital based hardware architecture and to reduce the hardware system power, speed and

FPGA IMPLEMENTATION OF A PSEUDO NOISE SEQUENCE USING CHAOTIC TENT MAP FOR SATELLITE COMMUNICATION
free download

Abstract Pseudo Noise (PN) sequences are an integral part of satellite navigation system. In the past few years' modernization of these systems had led to the addition of new frequency signals or bands such as the L1c and L5 signals thereby necessitating new PN

Based on Multi-FPGA Neuron Simulation Hardware Platform
free download

Abstract: The nervous system is constituted a complex network of a large number of neurons coupled. Network information transmission between neurons through synaptic currents and changes in membrane voltage implemented. Due to ethical issues, animal experiments

A Review on Implementation of Effective Airport Lighting System Using FPGA
free download

Abstract-The major problem in 21st century is energy crisis mostly the electric energy crisis. The major source of electric energy consumption is lighting source. It is observed that about one third of global consumption of electricity is spent for lighting purpose specially at night

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCESRESEARCH TECHNOLOGY FPGABASED MP3 DECODER
free download

ABSTRACT The goal this project is to design an MPEG Layer III (MP3) player using a Xilinx Virtex 5 FPGA board. Hardware description language such as VHDL is used through drive external peripherals, including the stereo AC97 codec and LCD controller. The increasing

FPGA Based Low Power Router Design Using High Speed Transeceiver Logic IO Standard
free download

Abstract:Communication is the major aspect that plays an important role in success of any organization. Information in the same way is another aspect as to have communication data or information is must. So with the help of computer networks it is possible to build an

An FPGA-based Portable Real-time Obstacle Detection and Notification System
free download

Abstract:There are abundant accounts of research relating to obstacle detection using vision sensors. Finding a solution that is lowpower, easily portable, low-cost, and still effective is a challenge. Overcoming this challenge will help millions whether it is through

FPGA Based Hardware Implementation of AES Rijndael Algorithm for Encryption and Decryption
free download

Abstract:AES algorithm or Rijndael algorithm is a network security algorithm which is most commonly used in all types of wired and wireless digital communication networks for secure transmission of data between two end users, especially over a public network. This paper

ZigBee Based Wireless Data Transmission with LDPC codes using FPGA
free download

ABSTRACT-Due to advancements in wireless communication, data transmission through noisy channel demands some efficient coding techniques. A Low Density Parity Check (LDPC) code performs dependable exchange of data over a noisy channel through long

Implementation and Analysis of Multi-Processing Processor Using Data Encryption Standard on FPGA
free download

Abstract: The network communication is mandatory and critical in our day-to-day life. The cryptography is the technique to secure the data in communication field such that privacy of data is maintained. The cryptography has different types of algorithms; they are Data

Development of FPGA based digital control scheme for Coriolis Gyroscope
free download

Abstract-It is highly desirable for space or missile systems gyroscope to provide an excellent performance over large range of dynamic operational conditions. Among all gyroscopes available the Hemispherical Resonator Gyroscope or HRG in short has a tremendous

Energy Power Efficient Vedic Multiplier Design on 28nm FPGA Using Vedic Formula ANURPYENA
free download

Abstract: The paper is about the designing of the low power energy proficient Vedic multiplier on 28nm field programmable gate array. Our motive here is to design a low power energy efficient design and for that reason, we are doing our study on different types of

Development of FPGA MicroBlaze Processor and GSM based Wireless Monitoring System for Neonatal Intensive Care Unit Wireless Monitoring System for
free download

Abstract In this research work a wireless embedded system is developed to monitor the physical parameters of Neonatal Intensive Care Unit (NICU). The system developed monitors the ambient temperature of the NICU using the temperature sensor and sends

Executing Discrete Orthogonal Transformations Based on Computations on the Galois Field in the FPGA Architecture
free download

Abstract:A general method of designing digital devices has been proposed to execute discrete orthogonal transformations on distributed programmable architecture system, the elements of which are field programmable logic arrays (FPGA). The digital devices

FPGA Architecture for the Implementation Of Polynomial Matrix Multiplication
free download

Abstract In this paper, the Polynomial Matrix Multiplication (PMM) of polynomial vectors and/or polynomial matrices have been introduced. This method provides an improvement of the fast convolution technique to multiple inputs multiple output systems (MIMO). It is

PERFORMANCE ANALYSIS AND IMPLEMENTATION OF MODIFIED SDM BASED NoC FOR MPSoC ON SPARTAN6 FPGA
free download

Abstract To meet todays demanding requirements lowpower consumption, high performance while maintaing flexibility and scalability, system-On-Chip will combine several number of processors cores and other IPs with network-On-chip. To implement NoC

Effective Architecture of Packet Classification on FPGA
free download

Abstract: For providing different quality services, router needs packet classification. Rules are frequently changing and due to multidimensional field it is difficult to maintain high speed and scalabilty in packet classification. In this work we use pipeline architecture with

Pipelined, High Speed, Low Power Neural Network Controller for Autonomous Mobile Robot Navigation Using FPGA
free download

Abstract-The demand for autonomous robots which incorporates efficient path planning and obstacle avoidance is increasing rapidly. In this paper, we have proposed a neural nea neural network based hardware architecture for autonomous mobile robot which is able to detect and

SoC-FPGA Design Guide
free download

The development of embedded systems based on chips containing one or more microprocessors and hardcore peripherals, as well as an FPGA part is becoming more and more important. This technology gives the designer a lot of freedom and powerful abilities.

Implementation of Fast Fourier Transform using Resource Reuse Technique on FPGA
free download

ABSTRACT The utility of discrete Fourier transform (DFT) plays important role in many of digital processing including linear filtering, correlation analysis and spectrum analysis. In this work we have proposed two FFT designs, design 1 and design 2. In design 1 we have

100 Gb/s Data Link Layer–from a Simulation to FPGA Implementation
free download

Abstract:In this paper, a simulation and hardware implementation of a data link layer for 100 Gb/s terahertz wireless communications is presented. In this solution the overhead of protocols and coding should be reduced to a minimum. This is especially important for

Improving Classification Accuracy of a Machine Learning approach for FPGA Timing Closure
free download

Abstract:We can use Cloud Computing and Machine Learning to help deliver timing closure of FPGA designs using InTime [2],[3]. This approach requires no modification to the input RTL and relies exclusively on manipulating the CAD tool parameters that drive the

Wave Digital Filter based Analog Circuit Emulation on FPGA
free download

Abstract:Unlike well accepted FPGA emulation for digital circuits, there is no winning emulation solution for analog and mixed-signal (AMS) circuits. This paper presents an analog circuit emulation based on wave digital filters (WDFs), which covers the entire flow

FPGA Implementation of High Speed Hybrid Viterbi Decoder
free download

Abstract:Error correction is an integral part of any communication system and for this purpose, the convolution codes are widely used as forward error correction codes. For decoding of convolution codes, at the receiver end Viterbi Decoder is being employed.

Camera Based Street Light Control System on FPGA Platform
free download

Abstract: The paper includes a street light controller to provide high speed dimming depending upon the traffic density in each lane and luminance on the road surface. The luminance intensity on the road surface is measured using a digital camera that is

FPGA BASED RANDOM NUMBER GENERATION ACCESSED THROUGH ARDUINO
free download

ABSTRACT In this paper we are using the concepts of random number generation for high security random digital lockers. As the present digital lockers are accessed with single password this may lead to security problems. To provide high security to these locker by

FPGA Implementation of Low Power Pipelined CORDIC Processor
free download

ABSTRACT Today most of the DSP applications are supported real time transmission process. Digital illustrations of transmission information are often handled within the same method as text; but the process rate has got to be abundant quicker. On account of this

FPGA Implementation of Cryptographic Algorithm Using ASCII Conversions for Secure Communications
free download

Abstract: In today's world cryptography has become a necessity for all the organizations. Data security is an essential component of an organization in order to keep the information safe from various competitors. It also helps to ensure the privacy of a user from others. It

A high resolution FPGA based time-to-digital converter
free download

Abstract A high resolution Time to Digital Converter (TDC) with Virtex-5 Field-Programmable Gate Array (FPGA) ML507 device is proposed. The MUXCY block of the CARRY4 in FPGA is used to design the tapped delay line. A two-stage data flip-flop (DFF) array data latching

GRVI Phalanx: A Massively Parallel RISC-V FPGA Accelerator Accelerator
free download

Abstract:GRVI is an FPGA-efficient RISC-V RV32I soft processor. Phalanx is a parallel processor and accelerator array framework. Groups of processors and accelerators form shared memory clusters. Clusters are interconnected with each other and with extreme

Implementation of Efficient Night Vision Robot on Arduino and FPGA Board
free download

Abstract:Night vision robot is robot that can click pictures and make videos during day as well as night. The Project is based on arduino platform. We have used infrared light emitting diodes, light dependent resister, RF module to make remote wireless and a camera. LDR

FPGA Implementation and Performance Analysis of conventional and Modified Router Design for NOC
free download

ABSTRACT Network-on-Chip (NoC) is a new approach for designing the communication subsystem among IP cores in a System-on-Chip (SoCNoC offers a great improvement over the issues like scalability, productivity, power efficiency and signal integrity challenges of

Low Cost FPGA Implementation and Optimization of 4-Point Hadmard Transform
free download

(WHT) is an orthogonal transformation that decomposes a signal into a set of orthogonal, rectangular waveforms called Walsh functions. The transformation has no multipliers and is real because the amplitude of Walsh (or Hadamard) functions has only two values,+ 1 or-1

FPGA IMPLEMENTATION OF BASIC ADDER CIRCUITS USING REVERSIBLE LOGIC GATES
free download

ABSTRACT An addition or summing is a fundamental arithmetic operation which is used extensively in many very largescale integration (VLSI) chips such as application-specific digital signal processing (DSP) and microprocessors chips. An adder determines the

A REVIEW: FPGA IMPLEMENTATION OF DALI CONTROLLER
free download

Abstract:DALI (Digital Addressable Lighting Interface) is serial data protocol which describes digital communication between controller and one or more lighting device. The controller can control each light by means of Manchester coding that is nothing but bi–

Implementation of Guided Filter for Image Edge Preservation Technique on FPGA
free download

Abstract: Filtering is widely used in the image and video processing application for various technology. Field Programmable Gate Array (FPGA)target for the implementation of real-time algorithms is suitable for the video image processing

FPGA Based IP Core For CRC 32
free download

Abstract:The cyclic redundancy check, or CRC, is a technique for detecting errors in digital data, but not for making corrections when errors are detected. It is used primarily in data transmission. In the CRC method, a certain number of check bits, often called a checksum,

Junction Temperature Aware Energy Efficient Router Design on FPGA
free download

Abstract:Energy, Power and efficiency are very much related to each other. To make any system efficient, Power consumed by it must be minimized or we can say that power dissipation should be less. In our research we tried to make a energy efficient router

A NOVEL METHOD OF RECONFIGURABLE IMAGE PROCESSING USING FPGA
free download

Abstract:FPGA is devices that contains large resources of logic gates and RAM blocks to implement complex functions. Reconfigurable hardware based image processing is proposed in this paper. In this work, a novel method of reconfigurable circuit switching is

FPGA Implementations of HEVC Sub-Pixel Interpolation Using High-Level Synthesis
free download

Abstract:Sub-pixel interpolation is one of the most computationally intensive parts of High Efficiency Video Coding (HEVC) video encoder and decoder. High-level synthesis (HLS) tools are started to be successfully used for FPGA implementations of digital signal

A Data Path Design Tool for Automatically Mapping Artificial Neural Networks on to FPGA-Based Systems
free download

Abstract–Artificial Neural Networks (ANNs) are usually implemented as software running on general purpose computers. On the other hand, when software implementations do not provide sufficient performance, ANNs are implemented as hardware on FPGA based

FPGA BASE VENDING MACHINE
free download

ABSTRACT A vending machine is a machine which dispenses items such as snacks, beverages, lottery tickets, consumer products and even gold and gems to customers automatically, after the customer inserts currency or card into the machine. Vending

ENGINEERING SCIENCESRESEARCH TECHNOLOGY AUTOMATED WATER DISTRIBUTION AND PERFORMANCE MONITORING SYSTEM USING FPGA
free download

ABSTRACT Enormous growth of residential areas has lead to over demand of water to fulfill daily activities. Without daily water nothing happens in any kind of environment. Importance of water is realized only when it is not available. People utilize water for different purposes

Smart Real–Time Pollution Monitoring And Controlling System using FPGA Spartan-6 and Android
free download

Abstract: In today's world air pollution is big problem which affects the human health. Hence monitoring and detecting the pollution is very important task. It is necessary to create a safe environment for living substances. In this paper, we developed effective solution for

High Throughput Large Scale Sorting on a CPU-FPGA Heterogeneous Platform
free download

Abstract:As a fundamental database operation primitive, sorting requires efficient implementation and high performance in terms of latency, throughput, and energy consumption. Recently accelerating sorting using FPGA has been of growing interest in

Generation Of PWM Using Verilog In FPGA
free download

Pulse width modulation (PWM) signals which are to be used in various power electronics application like power converters and inverters is presented. Pulse Width Modulation (PWM) triggers the gate terminals of the power electronic semiconductor devices like thyristors,

JPEG Compression with FPGA Implementation
free download

In recent years, the development and demand of multimedia product grows increasingly fast, contributing to insufficient bandwidth of network and storage of memory device. Therefore, the theory of data compression becomes more and more significant for reducing the data

CS-based Secured Big Data Processing on FPGA
free download

Abstract:The four V's in Big data sets, Volume, Velocity, Variety, and Veracity, provides challenges in many different aspects of real-time systems. Out of these areas securing big data sets, reduction in processing time and communication bandwidth are of utmost

FPGA Implementation of Safe Mode Detection and Sun Acquisition Logic in a Satellite
free download

Abstract:Satellite/spacecraft enter into Safe Mode in case of contingency when the solar panel is not rotated towards Sun in order to provide full solar array power. The transition from safe mode to sun pointing mode, carried out automatically by Sun acquisition logic. In

Design and Implementation of Frequency Modulated Transmission and Reception of Speech Signal and FPGA Based Enhancement
free download

Abstract Communication system may be the fastest growing technologies in our culture today. One of the ramifications of that growth is a dramatic increase in the number of professions–where an understanding of these technologies is essential for success–and a

FPGA Implementation of Noise Removal using Sigma Filter
free download

Abstract The real time image processing plays a predominant role in many fields, primarily in medical field to view the appropriate structure of the internal parts, fingerprint analysis for security system, and digital photography. The major issue to be overcome is the noise and

FPGA IMPLEMENTATION OF SECONDARY SYNCHRONIZATION CHANNEL DETECTOR FOR WCDMA SYSTEMS
free download

Abstract:This paper focuses on FPGA implementation of secondary synchronization channel detector for WCDMA systems, which is important task in identifying the code group number and slot id in WCDMA cell searching. Secondary synchronization codes in

Combined Architecture for AES Encryption and Decryption using FPGA
free download

ABSTRACT This paper presents a combined architecture of Advanced Encryption Standard- 128 encryption and decryption for high speed application. A select line named enc/dec is used to select either of the two operations. If enc/dec is 0, then encryption will take place

Design of Mobile DDR IO Standards Based Portable Devnagari Unicode Reader on FPGA
free download

Nepali and at least other 18 languages of South Asia. But, no research is available in the field to design portable Devnagari Unicode Reader (DUR). This paper aims to fill this research gap and design a portable DUR as well as make it thermal and energy efficient.

A Fast 16x16 Vedic Multiplier Using Carry Select Adder on FPGA
free download

Abstract: Vedic mathematics is one of the ancient Indian system of mathematics that was rediscovered in the early twentieth century This paper proposes the design of high speed Vedic Multiplier using the techniques of Vedic Mathematics that have been modified to

Improvement of The ADC Resolution Based on FPGA Implementation of Interpolating Algorithm
free download

Abstract:This paper exposes a method that gives us the possibility to use a low accuracy Analog-to-Digital Converter (ADC) in high-resolution measurements. We increase the resolution of a 12-bits ADC to 16-bits by adding samples which are calculated using

DESIGN AND IMPLEMENTATION OF 32 BIT ALU USING LOOK AHEAD CLOCK GATING BASED ON FPGA
free download

Abstract: Any type of digital architecture is modified by using the VLSI technology. In digital systems, clock gating is the best method to reduce consumption of power. As power consumption plays an important role in any integrated circuit. This methodology is mainly

Fault Tolerant Implementations of Delay-based Physically Unclonable Functions on FPGA
free download

Abstract:Recent literature has demonstrated that the security of Physically Unclonable Function (PUF) circuits might be adversely affected by the introduction of faults. In this paper, we propose novel and efficient architectures for a variety of widely used delay-based

A Review: Histogram Equalization Algorithms for Image Enhancement using FPGA
free download

Abstract: Degradation in the captured image quality is a common problem. There are various techniques to enhance the image quality. Some of the techniques used are Contrast Stretching (CS) and Histogram Equalization (HE). These are the basic techniques which

FPGA IMPLEMENTATION OF AREA OPTIMIZED AES ALGORITHM FOR SECURE COMMUNICATION APPLICATIONS
free download

ABSTRACT: Nowadays there is a lot of importance given to the data security in internet. The AES is one of the most preferred block cipher encryption/decryption methods used at present. AES is substitution-permutation network, which is a series of mathematical

Design and FPGA-based implementation of Smartcard Reader
free download

Abstract:This paper describes about the Implementation and Simulation of a Smart Card Reader design on Xilinx Spartan-3E FPGA Basys 2 board. This Smart Card reader design is in compliance with ISO/IEC 7816-3 standard. The code is described in Verilog at RTL

Reconfigurable Architectures Using FPGA for Low Power Circuit Application
free download

Abstract:Reconfigurable computing is becoming attractive in current applications. In recent time, FPGA have created many new applications due to involvement of reconfigurable architecture. Moreover, FPGA finds replacement over ASICs and microprocessor due to

Accelerating Equi-Join on a CPU-FPGA Heterogeneous Platform
free download

Abstract:Accelerating database applications using FPGAs has recently been an area of growing interest in both academia and industry. Equi-join is one of the key database operations whose performance highly depends on sorting, which exhibits high memory

Design of Pipeline based DES FPGA Module with Highly Secured Faster Data throughput
free download

Abstract With the popularity of Internet and Public Network Interfaces, and the data transfer over the networks have increased by several folds. These data transfers however, takes place over a public network which also brings around the tendency for the data to get

DNNWEAVER: From High-Level Deep Network Models to FPGA Acceleration
free download

Abstract Deep Neural Networks (DNNs) are compute-intensive learning models with growing applicability in a wide range of domains. FPGAs are a compelling alternative to accelerate deep networks. However, using FPGAs for DNN acceleration is challenging

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology
free download

Abstract:In this brief, a low-power flip-flop (FF) design featuring an explicit type pulse- triggered structure and a modified true single phase clock latch based on a signal feed- through scheme is presented. The proposed design successfully solves the long

A Review: FPGA Implementation of Reconfigurable Digital FIR Filter
free download

Abstract: This brief presents, the different methods namely conversion based approaches and memory based methods for implementation of FIR filter. It also presents an efficient implementation of Finite Impulse Response Filter (FIR) using Distributed Arithmetic (DA)

FPGA implementation of Integer DCT for HEVC
free download

Abstract--In this paper, area-efficient architectures for the implementation of integer discrete cosine transform (DCT) of different lengths to be used in High Efficiency Video Coding (HEVC) are proposed. An efficient constant matrix multiplication scheme can be used to

THE USAGE OF FPGA ALTERA DE2 PLATFORM FOR REMOTE EXPERIMENTATION
free download

Abstract: This paper presents implementation of Altera DE2 FPGA platform into CEyeClon remote environment for the purpose of creating remote lab module (remote experiment). This remote lab module was used by students of master course in Remote control in this

FPGA Implementation of Frequency Up-Down Converter Using CORDIC Algorithm
free download

CORDIC algorithm is widely used for Image processing, Robotics, Fiber optics, VLSI implementation of DSP Applications, etc. This paper shows how to use CORDIC to implement Direct Digital Synthesizers (DDS), Up-Down converters of in phase and

Design and Implementation of Sequential Microprogrammed FIR Filter Using Efficient Multipliers on FPGA
free download

ABSTRACT Finite Impulse Response Filter plays an important part in digital signal processing applications such as video, audio and image processing. The performance of FIR filter is improved by using efficient multipliers and adders. In this paper 8 tap

FPGA 131
free download

Tingping Zhang, Jianxi Yang and Xinyu Liang Voltage Scaling Based Wireless LAN Specific UART Design Based on 90nm FPGA 131Du Han Lee, Myounghwan Kim and Dong Sop Rhee FPGA Implementation of Image Acquisition for Quadruped Search Robot Monitor 159

Clock Gating Based Low Power Energy Efficient Gurmukhi Unicode Reader Design on FPGA
free download

(HPEEC), the focus of research is shifting toward energy efficient computing or low power VLSI design or green computing. We are applying three different clock gating technique in target design of Gurmukhi Unicode Reader (GUR). These power saving techniques

FPGA Implementation of Adaptive Neuro-Fuzzy Inference Systems Controller for Greenhouse Climate
free download

Array (FPGA) implementation of Adaptive Neuro-fuzzy Inferences Systems (ANFIS) using Very High-Speed Integrated Circuit Hardware-Description Language (VHDL) for controlling temperature and humidity inside a tomato greenhouse. The main advantages of using the

AN FPGA BASED 64-BIT IEEE–754 DOUBLE PRECISION FLOATING POINT ADDER/SUBTRACTOR AND MULTIPLIER USING VHDL
free download

Abstract: Floating point arithmetic is widely used in many areas, especially scientific computation and signal processing. For many signal processing, and graphics applications, it is acceptable to trade off some accuracy (in the least significant bit positions) for faster

Wireless Secured Data Transmission using Cryptographic Techniques through FPGA
free download

Abstract:The need to protect the data disturbances and unauthorized access in communication has led to development of several cryptographic algorithms. Current issue in modern world as popularity of internet, e-commerce and communication technologies has

RISC (16-bits) Processor Design Using VerilogFPGA
free download

Abstract:The reduced instruction set computer, or RISC, is a microprocessor CPU design philosophy that favors a smaller and simpler set of instructions that all take about the same amount of time to execute. The most common RISC microprocessors are ARM, DEC Alpha

FPGA Implementation of Dadda Multiplier Using Approximate 4-2 Compressor
free download

Abstract--Importate estimated processing for lower power and less delay for computerized mathematic design. This paper introduce the" FPGA implementation of Dadda multiplier utilizing approximate 4-2 compressor" utilized as a part of uses like multimedia and image

FPGA-based System for Non-invasive Frequency Response Analysis Testing
free download

Abstract. This paper deals with a design of a hardware platform for frequency response analysis (FRA) testing for non-invasive diagnostic purposes. Commonly used diagnostic methods are described as well as the theory behind the FRA measurement itself. The

FPGA Based Direct Model Predictive Current Control of PMSM Drives with 3L-NPC Power Converters
free download

Abstract Direct control techniques are interesting alternatives, in particular, for drive systems with multilevel and/or multi-phase power converters, for which the modulator design becomes rather complex. This work presents a direct model predictive current control (

FPGA Based Direct Digital Synthesis Using CORDIC Algorithm
free download

Abstract In this project an efficient approach is the implementation of DDS based on FPGA using Cordic Algorithm. DDS is a frequency synthesizer which can generate arbitrary waveforms from a single, fixed frequency reference clock. In this work our approach is to



ENGPAPER.COM CSE PROJECTS

FREE IEEE PAPER AND PROJECTS

FREE IEEE PAPER