fpga-field programmable gate arrays-research papers-2012 section 8



Design of FPGA-based TDC for the ICAL Detector of India-based Neutrino Observatory
free download

S Dasgupta, NK Mondal, D Samuel, B Satyanarayana ,hecr.tifr.res.in
Abstract—The India-based Neutrino Observatory (INO) collaboration has proposed to build
a 50 kton magnetized Iron Calorimeter (ICAL) detector with the primary goal to study
neutrino oscillations, employing Resistive Plate Chambers (RPCs) as active detector 

 Fpga Controlled Cascaded Mli With Advanced Multilevel Strategies For Harmonic Reduction
free download

CS Babu, VNB Reddy ,iosrjournals.org
Abstract: This paper focused on the implementation of Cascaded H-bridge multilevel inverter
fed induction motor by using the modulating technique of OVPWM with U-type carrier to the
Five-level inverter. The PWM switching signals based on offset voltage injected in sine 

 FPGA-GPU-CPU Heterogenous Architecture for Real-time Cardiac Physiological Optical Mapping
free download

Abstract—Real-time optical mapping technology is a technique that can be used in cardiac
disease study and treatment technology development to obtain accurate and
comprehensive electrical activity over the entire heart. It provides a dense spatial

 WAVELET TRANSFORM BASED ECG SIGNAL FILTERING IMPLEMENTED ON FPGA
free download

GS Zoltán, M Ferenc-Emil ,scientificbulletin.upm.ro
ABSTRACT Filtering electrocardiographic (ECG) signals is always a challenge because the
accuracy of their interpretation depends strongly on filtering results. The Discrete Wavelet
Transform (DWT) is an efficient, new and useful tool for signal processing applications and 

 Fast Self Switching type Frequency Agile RADAR Processing unit Implemented on XilinxFPGA
free download

R Prasad, BA Rahim ,ijitee.org
Abstract—RADARs with fixed carrier frequency profile are vulnerable to jamming. Changing
the carrier frequency of the RADAR by sensing the channel condition dynamically.
Frequency agility is one of the best techniques used for anti jamming. Self adaptive 

 Mapping of Image and Network Processing Tasks on High-Throughput CMOL FPGA Circuits
free download

A Madhavan, DB Strukov ,ece.ucsb.edu
Abstract—A simple two-terminal memristive device has excellent scaling properties. For
example, devices with footprint below 10× 10 nm2 have been recently demonstrated and
crossbar structures provide means of sustaining memristor density in large-scale circuits. 

 FPGA Implementation for GHA-Based Texture Classification
free download

SJ Lin, KH Lin, WJ Hwang ,cdn.intechweb.org
Principal components analysis (PCA)(Alpaydin, 2010; Jolliffe, 2002) is an effective
unsupervised feature extraction algorithm for pattern recognition, classification, computer
vision or data compression (Bravoetal., 2010; Zhangetal., 2006; Kimetal., 2005; Liying & 

 FPGA Prototyping of Hardware Implementation of CORDIC Algorithm
free download

EM Arora, ERS Chauhan, EL Bagga
Abstract-In 1959 JE Volder presents a new algorithm for the real time solution of the
equations raised in navigation system. This algorithm was the best replacement of analog
navigation system by the digital. CORDIC algorithm used for the fast calculation of 

 Low-Power Correlation for IEEE 802.16 OFDM Synchronisation on FPGA
free download

Abstract—This paper compares the use of multiplierless and DSP Slice-based cross-
correlation for IEEE 802.16 d OFDM timing synchronisation on Xilinx Virtex-6 and Spartan-6
FPGA devices. The natural approach, given the availability of embedded DSP blocks on 

 Development, Integration and Verification of VHDL Code for FPGA based Beam Position Measurement Board
free download

P Trivedi, R Soni, S Baudha, D Asati ,International Journal of ,irnetexplore.ac.in
Abstract–Continuous increases in FPGA capacity, architectural features and performance,
along with decreases in cost, results in an ideal solution to hardware system designers. The
embedded designer who is serious about increasing performance must consider the 

 Design of an 8051 Microcontroller in a FPGA with a Reconfigurable Instruction Set
free download

FS CASTRO, R ESKINAZI ,unibratec.edu.br
This paper describes the design and implementation of a version of the 8051
microcontroller, one of the most commercially used microcontrollers in FPGA with reconfigurable
instruction set. Reconfigurable systems offer a solution to solve complex problems by 

 Hardware Implementation of Modified RC4 Stream Cipher Using FPGA
free download

J Dofe, M Patil
Abstract:—In this project work, an efficient hardware Implementation of modified RC4 stream-
cipher is proposed. In contrary to previous design, which requires four memories each of
size 256 X 8, the proposed system can be implemented by using only two memories each 

 DESIGN AND SIMULATION OF FFT PROCESSOR FOR OFDMA SYSTEM USING FPGA
free download

K CHOUDHURY, JM KUMAR, TA BABU ,irnetexplore.ac.in
Abstract-In the downlink module of Orthogonal Frequency Division Multiple Access
(OFDMA) system, there is needed an alterable points FFT processor. Therefore, it is
meaningful to design a FFT processor for the FFT processor which input data points could 

 Computing Performance Benchmarks among CPU, GPU, and FPGA
free download

C Cullinan, C Wyant, T Frattesi, X Huang
Abstract In recent years, the world of high performance computing has been developing
rapidly. The goal of this project was to conduct computing performance benchmarks on three
major computing platforms, CPUs, GPUs, and FPGAs. A total of 66 benchmarks were 

 Implementation of I²C using System Verilog and FPGA
free download

SS Sobhan, S Das, I Rahman
Abstract—In this paper, the I²C (Inter-Integrated Circuit; generically referred to as” two-wire
interface”) is implemented using System Verilog and Field Programmable Gate Arrays
(FPGA). This I²C interface will create the communication between master and slave 

 FPGA Implementation of a Cellular Automata-based Algorithm for the Prediction of Oil Slick Spreading
free download

Abstract—Oil spills in sea waters are considered as a major threat for ecosystems with
numerous accidents recorded each year. Consequently, models for oil slick behavior are
important in environmental engineering, and Cellular Automata (CA) have been 

 IMPLEMENTATION OF GIGABIT ETHERNET STANDARD USING FPGA
free download

VR Gad, RS Gad, GM Naik
ABSTRACT This paper presents the results of Gigabit Ethernet standard implementation in
the FPGA device. The design uses Altera’s Stratix-II GX device and supports data transfer
rates of 10Mbps, 100Mpbs as well as 1Gbps. FPGA implementations have the advantage 

 FPGA Implementation Of Efficient Algorithm Of Image Splitting For Video Streaming Data
free download

S TN, R KM, PCP Raj, SL Pinjare
ABSTRACT Video splitting is the process of dividing the video into non overlapping parts.
Then row mean and column mean or each part is obtained. After applying transform on
these, features sets can be obtained to be used in image retrieval. By using splitting 

 Evaluation of Data Transfer from FPGA to PC: Increasing Frame Rate by BLOB Detection
free download

Abstract This work presents a novel hardware/software codesign approach to blob detection.
Camera images are preprocessed on a field-programmable gate array that separates
foreground pixels from the background. The foreground pixels are transferred to the PC 

 Implementation of SOBEL Edge Detection on FPGA
free download

G Anusha, TJC Prasad, DS Narayana
Abstract—The image processing algorithms has been limited to software implementation
which is slower due to the limited processor speed. So a dedicated processor for edge
detection is required which was not possible until advancements in VLSI technology. The 

 Asset Tracking, Security and Access Control Using RFID with FPGA at Airports
free download

S Raja, BC Mandi ,irnetexplore.ac.in
Abstract-It’s not at all a matter of surprise that now a days the asset tracking is one of the
most common uses of RFID. Companies can put RFID tags on the assets which may be
misplaced, lost or stolen and the RFID system can be utilized and activated to recover 

 FPGA Implementation of Parameter controlled reconfigurable base band modulator for SDR architecture
free download

SK Reddy, AR Shankar, RL Kanth ,rspublication.com
Abstract: In current scenario there is a need for reconfigurable modulator to support various
existing standards like digital wireless communication standards (GSM, GPRS, HSPDA,
WCDMA, UMTS, etc.), digital broadcasting standards (DAB-T, DVB-T, DVB-C, etc.) and/or 

 Efficient FPGA Implementation of Sigmoid and Bipolar Sigmoid Activation Functions for Multilayer Perceptrons
free download

M Panicker, C Babu
ABSTRACT:-Artificial Neural Networks base their processing capabilities in a parallel
architecture. This makes them extremely useful in pattern recognition, system identification
and control problems. Multilayer Perceptron is an artificial neural network with one or 

 BPM GAINS AND ß-FUNCTION MEASUREMENT USING MIA AND FPGA BPMS AT THE APS
free download

C Wang, C Yao, G Decker, H Shang, D Ji ,accelconf.web.cern.ch
Abstract The broadband BPM system at the Advanced Photon Source (APS) is being
upgraded with FPGA-based beam history modules, which fix problems in the old history
modules and increase functionality. Using these new turnby-turn BPMs and the newly 

 THE PRELIMINARY TEST OF A DIGITAL CONTROL SYSTEM BASED ON THE FPGA FOR A PEFP 120-KEV RF CAVITY
free download

YM Li, SS Cha, HS Kim, JH Jang, HJ Kwon, KT Seol ,accelconf.web.cern.ch
Abstract PEFP developed a 120-keV RF cavity for their ion implantation applications. Due to
ambient disturbances, the cavity’s resonance frequency may vary in long-term test. We
designed a digital control system to change the frequency of the RF sources for tracking 

 ONLINE TRAINING AND ASSESSMENT STRATEGY USING AN INTERACTIVE FPGALABORATORY ARRAY
free download

F Morgan, M Kane, S Cawley ,elucidare.co.uk
ABSTRACT This paper proposes and demonstrates an online training and assessment
strategy which uses an interactive Remote FPGA Lab (RFL) array to provide real-time
control and visualisation of digital logic system operation, at any level of the design 

 Sensor less Distributed Temperature Sensor and Control Using FPGA
free download

S Magadum, KV Naveen, H Guhilot
Abstract—With increase in speed of operation and reduction in transistor sizes stress on
ASICs and FPGAs is increasing at a rapid pace. In this paper a built in temperature controller
for FPGA without a temperature sensor is described. The distributed indirect temperature 

 Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA
free download

N Joseph, PN Kumar ,International Journal
ABSTRACT The increase in the consumer demand and the exponential growth for wireless
systems, which enables consumer to communicate in any place by means of information,
has in turn led to the emergence of many portable wireless communication products. The 

 Multi-Controllers in a single chip for a DC-DC Converter and its Precious Application UsingFPGA
free download

SKS Babu, M Saravanan
Abstract: In this paper, the Simulation, Comparison and Implementation of multi controllers in
a chip will be compared with the separate individual controllers in a chip for a DC-DC
converter and the precious application. The PID controller for DC-DC converter and PI 

 Design, Synthesis and FPGA-based Implementation of a 32-bit Digital Signal Processor
free download

T Ferdous
Abstract—With the advent of personal computer, smart phones, gaming and other
multimedia devices, the demand for DSP processors in semiconductor industry and modern
life is ever increasing. Traditional DSP processors which are special purpose (custom 

 Logic Optimization Using Technology Independent MUX Based Adders in FPGA
free download

R Uma, P Dhavachelvan ,International Journal
ABSTRACT Adders form an almost obligatory component of every contemporary integrated
circuit. The prerequisite of the adder is that it is primarily fast and secondarily efficient in
terms of power consumption and chip area. Therefore, careful optimization of the adder is 

 Implementing VGA Application on FPGA using an Innovative Algorithm with the help of NIOS-II
free download

AB Pasaya, KR Bhatt
Abstract Basically, here we have used VGA for implementing basic graphics applications
that can be either used in a single user game or either in advertisements that deals with real-
time application. Further expanding the logic with the coding part even double user game 

 Remote FPGA Lab for Enhancing Learning of Digital Systems Under review
free download

F MORGAN, S CAWLEY ,remotefpga.com
Learning in digital systems can be enhanced through applying a learn-by-doing approach
on practical hardware systems and by using web-based technology to visualise and animate
hardware behaviour. The authors have reported the web-based Remote FPGA Lab (RFL) 

 Design and Implementation of I2C to SPI Converter on FPGA Using VHDL
free download

C TT II, C EE ,vsrdjournals.com
ABSTRACT The focus of this paper is on design of a converter that allows interfacing of a
SPI device with a microcontroller communicating in I2C. This controller is connected to a
microprocessor or computer and reads 8 bit instructions following I2C protocol. The 


CSE PROJECTS

FREE IEEE PAPER AND PROJECTS

FREE IEEE PAPER