fpga field programmable gate array research papers 2015 IEEE PAPER





Performance evaluation of a dyser fpga prototype system spanning the compiler, microarchitecture, and hardware implementation
free download

Abstract:Specialization and accelerators are being proposed as an effective way to address the slowdown of Dennard scaling. DySER is one such accelerator, which dynamically synthesizes large compound functional units to match program regions, using

Research on FPGA-Based Controller for Nonlinear System
free download

Abstract Many of linear control applications require real-time operation; higher density programmable logic devices such as field programmable gate array (FPGA) can be used to integrate large amounts of logic in a single IC. This work, proposes a developed method to

FPGA Implementation of Multi-notch Comb Filter
free download

Abstract:A comb filter in HDL is implemented which will notch the desired multiple of sampling frequency. The implementation of the filter will be done using infinite impulse response (IIR) scheme of designing digital filters. The input will be sampled using an ADC

Modeling, Design and Implement of Fuzzy Logic Controlleron FPGA Robotic Platform
free download

Abstractn this work we design, simulate and implement two fuzzy-logic based algorithms for mobile robots: one for obstacle avoidance, and another one with the combined objective of atarget point in an unknown

POWER OPTIMIZATION USING BODY BIASING METHOD FOR DUAL VOLTAGE FPGA
free download

Abstract-Dual supply voltage is a mature low power technique that has already been widely used in application-specific integrated circuit (ASIC). It has also been introduced into field programmable gate array (FPGA) where noncritical blocks are configured to work at

An advanced Parallel FPGA Architecture for Bi-directional Motion Estimation
free download

Abstract Motion estimation (ME) and motion compensation (MC) are the key elements for frame rate up-conversion (FRUC) system. Fast and accurate motion estimation is the premise of high quality motion compensation. Unlike conventional unidirectional motion

FPGA implementation of reservoir computing with online learning
free download

Abstract Reservoir Computing is a bio-inspired computing paradigm for processing time dependent signals. The performance of its analogue implementation are comparable to, and sometimes surpass, other state of the art algorithms for tasks such as speech recognition

Communication and Interoperation for Field Bus Systems based on ARM and FPGA
free download

Abstract: Communication and interoperation are critical to modern industry. A reconfigurable intelligent gateway based on ARM and FPGA is proposed on the basis of current situation and developing trend of protocol converting to achieve communication and interoperation

DESIGN AND IMPLEMENTATION OF I2C SINGLE MASTER ON FPGA USING VERILOG
free download

Abstract: This paper focuses on the design of I2C single master which consists of a bidirectional data line ie serial data line (sda) and serial clock line (scl). This protocol has the ability to support multiple masters. I2C is a two-wire, bidirectional serial bus that provides

Majority-Inverter Graph for FPGA Synthesis
free download

Abstract:In this paper, we present an FPGA synthesis flow based on Majority-Inverter Graph (MIG). An MIG is a directed acyclic graph consisting of three-input majority nodes and regular/complemented edges. MIG manipulation is supported by a consistent algebraic

Implementation of PSK Digital Demodulator with Variable Rate Based on FPGA
free download

Abstract: Aiming at QPSK modulation digital system with variable rate, a novel implementation method based on field programmable gate array (FPGA) is proposed, which can support 4.88 Kbps to 2Mbps and even higher continuous bit rate. The design adopts

FPGA Based Design and Implementation of ECG Feature Extraction
free download

ABSTRACT FPGAs (Field Programmable Gate Arrays) are finding wide acceptance in medical systems for their ability for rapid prototyping of a concept that requires hardware/software co-design, for performing custom processing in parallel at high data

DESIGN OF ENERGY EFFICIENT RANDOM ACCESS MEMORY CIRCUIT USING STUB SERIES TERMINATED LOGIC I/O STANDARD ON 28NM FPGA
free download

This paper is based on the designing of energy efficient memory circuit using various IO standard of SSTL logic family on 28nm (Artix-7) Field Programmable Gate Array (FPGA). We are using Xilinx ISE simulator version 14.2, Verilog hardware description language and Artix-7

VLSI IMPLEMENTATION OF INTEGER DCT ARCHITECTURES FOR HEVC IN FPGA TECHNOLOGY
free download

Abstract: High Efficiency Video Coding (HEVC) inverse transform for residual coding uses 2-D 4x4 to 32x32 transforms with higher precision as compared to H. 264/AVC's 4x4

INTELLIGENT SUN TRACKING SYSTEM USING FLC IMPLEMENTED ON FPGA
free download

ABSTRACT-Solar energy is becoming increasingly attractive as we faced with global climate changesless availability of fossil fuel in India. solar energy is free, nonpolluting, and inexhaustibleWe can generate at load site so transmission costlosses is nil. A solar

A New Hardware Implementation of Base 2 Logarithm for FPGA
free download

Abstract:Logarithms reduce products to sums and powers to products; they play an important role in signal processing, communication and information theory. They are primarily used for hardware calculations, handling multiplications, divisions, powers, and

A method for designing the brushless direct current system using FPGA based on fuzzy set similarity algorithm
free download

Abstract In this paper, we prompt a new method for designing the brushless direct current system using FPGA based on the fuzzy set similarity to ideal solution. The most demanding design constrain is size. It is important for the robot hand to improve its dexterity and

LVCMOS Based Energy Efficient Sindhi Unicode Reader for Natural Processing on 28nmFPGA
free download

Abstract In this research work, we have focused on designing an energy efficient Sindhi Unicode reader based on LVCMOS for natural processing. Unicode is a standard for a universal character set for all the scripts of the world. It is one of the fundamental

Efficient Data Transfer Method for Image Filtering Implementation on FPGA Using OpenCL
free download

ABSTRACT Heterogeneous platforms which commonly consist of a central processing unit (CPU) and a graphic processing unit (GPU) receive lots of attention to achieve both high performance and low power consumption. Furthermore, modern heterogeneous platforms

Capacitance Scaling Based Energy Efficient and Tera Hertz Design of Malayalam Unicode Reader on FPGA
free download

Abstract Malayalam is Kerala's official language, south-western region of India mainly speak this language, and very less research has been done for designing Malayalam Unicode reader. The Unicode range of characters for Malayalam script is 0D00–0D7F. In this work,

FPGA Implementation of Image Enhancement Using Gabor Filter
free download

ABSTRACT The aim of Image Enhancement is to improve the specific features of an image. Gabor filter will help in enhancing the quality of an image. By tuning the filter to a particular frequency and direction the local frequency and orientation information can be obtained.

FPGA Realization of Multi-Port Memory Controller for Communication with DDR2 Memory
free download

Abstract:n real time applications there is a need of memory for data storage. FPGA processor to the DDR2 memory communication can be carried out with the help of multi-port memory controller. The main features of FPGA processor are fast speed, flexible use and

Design of a ROM-Less Direct Digital Frequency Synthesizer on FPGA
free download

Abstract DDFS (Direct Digital Frequency Synthesizer) is a new technique of frequency synthesizes which introduces the advanced digital processing theory into frequency synthesis. A direct digital frequency synthesizer is composed of a phase accumulator, an

FPGA Implementations of HEVC Inverse DCT Using High-Level Synthesis
free download

Abstract:High Efficiency Video Coding (HEVC), the recently developed international video compression standard, has 50% better video compression efficiency than H. 264 video compression standard at the expense of significantly increased computational complexity.

Using Multithreaded Techniques to Mask Memory Latency on FPGA Accelerators
free download

Processor performance has significantly outpaced memory performance creating a latency gap. The major chip manufactures rely on multilevel cache designs to help their CPU processors maintain high performance. Caches leverage the spacial and temporal locality

targeted High-Level Synthesis
free download

Abstract Traditional techniques for pipeline scheduling in high-level synthesis for FPGAs assume an additive delay model where each operation incurs a pre-characterized delay. While a good approximation for some operation types, this fails to consider technology

Implementation of Digital Radio for Improved Synchronization using FPGA-based Platform
free download

ABSTRACT In this work, a narrow band digital radio is implemented using the FPGA-based platform. We concentrate on the synchronization part which is located at front of digital modem. In peer to peer communications, synchronization between radios is very

DEVELOPMENT OF FPGA-BASED TDC WITH WIDE DYNAMIC RANGE FOR MONITORING THE TRIGGER TIMING DISTRIBUTION SYSTEM AT THE KEKB
free download

Abstract A new field-programmable gate array (FPGA)-based time-to-digital converter (TDC) with a wide dynamic range greater than 20 ms has been developed to monitor the timing of various pulsed devices in the trigger timing distribution system of the KEKB injector linac.

OpenCL-Based Design of an FPGA Accelerator for Phase-Based Correspondence Matching
free download

Abstract:This paper proposes a Field Programmable Gate Array (FPGA) implementation of the stereo correspondence matching using Phase-Only Correlation (POC). The use of high- accuracy stereo correspondence matching based on POC makes it possible to measure

An FPGA-Based Systolic Array to Accelerate the BWA-MEM Genomic Mapping Algorithm
free download

Abstract:We present the first accelerated implementation of BWA-MEM, a popular genome sequence alignment algorithm widely used in next generation sequencing genomics pipelines. The Smith-Waterman-like sequence alignment kernel requires a significant

FPGA-in-the-Loop Implementation of an Adaptive Matrix Inversion Algorithmic Co-Processor: An Embedded Dual-Processor System
free download

Abstract This article presents a comprehensive and efficient model-based technique on how algorithms can be developed, synthesized, modeled, pre-verified and implemented on embedded processors platforms which consist of a personal computer and a field

FPGA Implementation of OFDM with Steganography
free download

Abstract-Orthogonal Frequency Division Multiplexing (OFDM) is a multi-carrier modulation technique. It provides high bandwidth efficiency because the carriers are orthogonal to each other and multiple carriers share the data among themselves. The main advantage of this

An FPGA-based Accelerator for Rapid Simulation of SC Decoding of Polar Codes
free download

Abstract:In this paper we present an FPGA-based system for rapid frame error rate simulations of successive cancellation decoding of polar codes. Our system is implemented on a Xilinx Virtex-7 XC7VX485T FPGA and it supports polar codes of any rate and of

Hardware Implementation of Virtual Reconfigurable Circuit for Fault Tolerant Evolvable Hardware System on FPGA
free download

Abstract This research verify and describes a Virtual Reconfigurable Circuit (VRC) that designed and implemented for a Fault Tolerant Evolvable Hardware (EHW) system used to calculate the thermal power output of Egypt's second Training and Research Reactor (

Evaluating Vivado High-Level Synthesis on OpenCV Functions for the Zynq-7000 FPGA
free download

Abstract More complex and intricate Computer Vision algorithms combined with higher resolution image streams put bigger and bigger demands on processing power. CPU clock frequencies are now pushing the limits of possible speeds, and have instead started

Stream Computation of Shallow Water Equation Solver for FPGA-based 1D Tsunami Simulation
free download

ABSTRACT MOST (Method Of Splitting Tsunami) is widely used to solve shallow water equations (SWEs) for forecasting tsunami generated by an earthquake. Toward development of a power-efficient and high-performance computing system for 2D tsunami

FPGA Implementation of Ultrasonic S-Scan Coordinate Conversion Based on Radix-4 CORDIC Algorithm
free download

Abstract:To solve the existing problems on traditional method of calling function at ultrasonic S-scan coordinate conversion, this paper introduced the FPGA implementation of ultrasonic S-scan coordinate conversion based on Radix-4 CORDIC algorithm. On the

JPEG Decoder implementation on FPGA using Dynamic Partial Reconfiguration
free download

Abstract This thesis describes a study conducted in Reconfigurable Computing using a Field- Programmable Gate Array (FPGA). Reconfigurable Computing is a concept almost as old as high-speed electronic computing itself. To explore the practical aspects of the concept, a

On the Design of a Reconfigurable Radio Processor Using FPGA
free download

Abstract:High performance DSP processors are unable to meet the speed requirements of Software Defined Radio (SDR), System on chips (SOCs) are also not suitable because of their limited flexibility. Recently dynamically reconfigurable FPGAs have emerged as high

FPGA BASED SOLAR TRACKING MECHANISM FOR RESIDENTIAL APPLICATIONS
free download

ABSTRACT: This work describes a dual axis tracking system based on astronomical equation. The position of the Sun at any time is a function of azimuth and altitude angle values collected off line. A prototype of dual axis solar tracking system is developed on

Different Approaches for the Removal of Different Valued Salt and Pepper Noise in Images Using Spartan 3 FPGA with Security
free download

Abstract In this paper we propose efficient algorithm for high-density salt and pepper noise removal in images and videos. In the transmission of images over channels, due to faulty communications images are corrupted by salt and pepper noise. We will deal with the

FPGA Based Accelerator for Pattern Matching in YARA Framework
free download

Abstract:Pattern Matching is an integral part of intrusion detection systems to detect potential threats and is becoming a bottleneck due to the complexity and scale of patterns. YARA is a pattern matching framework which helps in the identification of malicious

COMPARATIVE STUDY OF DSP AND FPGA FOR THE IMPLEMENTATION OF LEAST MEAN SQUARE ALGORITHM IN SMART ANTENNAS.
free download

ABSTRACT This study investigated the technologies needed for the implementation of the Least Mean Square (LMS) algorithm for their use in beam formation of smart antennas. The goal of this research was to compare between the two existing technologies available for

New Version of AES-ECC Encryption System Based on FPGA in WSNs
free download

ABSTRACT According to the threat of the data transmission on wireless sensor networks, a technique for speeding up point multiplication, an improved AES-ECC hybrid encryption system with cross encrypted keys for secure key exchange is presented. This scheme use

Dynamic Flowgraph Methodology Assessment of an FPGA-Based Postaccident Monitoring System for Westinghouse AP1000 Nuclear Power Plants
free download

A field-programmable gate array (FPGA) is a type of integrated circuit that is programmed after being manufactured. These FPGA-based systems are considered to be viable alternatives to replace many obsolete instrumentation and control (IC) systems that are

FEASIBILITY ANALYSIS OF REAL-TIME PHYSICAL MODELING USING WAVECORE PROCESSOR TECHNOLOGY ON FPGA
free download

ABSTRACT WaveCore is a scalable many-core processor technology. This technology is specifically developed and optimized for real-time acoustical modeling applications. The programmable WaveCore soft-core processor is silicon-technology independent and

Broadening the Applicability of FPGA-based Soft Vector Processors
free download

Abstract A soft vector processor (SVP) is an overlay on top of FPGAs that allows dataparallel algorithms to be written in software rather than hardware, and yet still achieve hardware-like performance. This ease of use comes at an area and speed penalty, however. Also, since

An FPGA-based High Speed Parallel Signal Processing System for Adaptive Optics Testbed
free download

ABSTRACT In this paper a state-of-the-art FPGA (Field Programmable Gate Array) based high speed parallel signal processing system (SPS) for adaptive optics (AO) testbed with 1 kHz wavefront error (WFE) correction frequency is reported. The AO testbed consists of

FPGA-Based Real-Time System for Demodulating FBG Wavelength
free download

Abstract In order to detect the wavelength drift of FBG (fiber Bragg grating) sensors, the realtime demodulating system based on tunable FP filter (TFPF) was built. The optical parts of the demodulation system include broadband optical source, TFPF unit, reference

Rapid Prototyping of Embedded Video Processing Systems in FPGA Devices
free download

Abstract Design of video processing circuits requires a variety of tools and knowledge, and it is difficult to find the right combination of tools for an efficient design process, specifically when considering open tools for evaluation or educational purpose. This chapter presents

FPGA Based Energy Efficient Universal Asynchronous Receiver Transmitter Design Using Thermal Scaling
free download

Abstract This paper throws light on the behavior of the UART in response to the variations in the junction temperature. Analysis has been done to find the most ideal temperature range for the operation of the UART. After all the calculations, deduction comes to a point that

FPGA Implementation of Denoising Speech Signal using Stationary Wavelet Transform
free download

Abstract: Speech signals are often contaminated with acoustic noise, which is present in a variety of listening environments. This problem is of critical importance because background noise is particularly damaging to speech intelligibility for people with hearing loss and

AREA OPTIMIZED RE-CONFIGURABLE FPGA WITH LOW LATENCY
free download

ABSTRACT: An asynchronous FPGA architecture based on autonomous fine-grain power gating with small overheads has been introduced in this project. This paper presents to design a reconfigurable low power Asynchronous FPGA cells with less area over head. Due to the exponential increase in hardware design costs and risks of making customized chips, the electronics industry has begun shifting towards the use of reconfigurable devices such as field programmable gate arrays (FPGAs) as mainstream computing platforms. An

Asynchronous Techniques for New Generation Variation-Tolerant FPGA
free download

Abstract This thesis presents a practical scenario for asynchronous logic implementation that would benefit the modern Field-Programmable Gate Arrays (FPGAs) technology in improving reliability. A method based on Asynchronously-Assisted Logic (AAL) blocks is

FPGA Implementation of Adaptive Complete Synchronization Methodology for Novel Chaotic Systems
free download

Abstract: This paper investigates a new methodology for the FPGA implementation of adaptive synchronization between novel chaotic systems. Adaptive synchronization between the chaotic systems is achieved based on Lyapunov stability theory. The

A HARDWARE REALIZATION OF MULTIPOINT ELECTRONIC DEVICE USING FPGA AND NEURAL NETWORK
free download

Neural Networks (NN) play a crucial rule in all intelligent system design, as its ability to learn and mimic brain behavior. Many applications use Neural Networks in their implementations such as identify, simulate and control nonlinear system. In this work, a neural network

An Optimization of CORDIC Algorithm and FPGA Implementation
free download

Abstract ASIC and FPGA ASIC and FPGA are considered to be the ideal platform for special fast calculations because of the hardware structure, and how to achieve computational algorithm by is the hotpot of research. The CORDIC (Coordinate Rotational Digital

SSTL Based Power Efficient Implementation of DES Security Algorithm on 28nm FPGA
free download

Abstract In this particular work, we have done power dissipation analysis of DES algorithm, implemented on 28nm FPGA. We have used Xilinx ISE software development kit for all the observation done in this particular research work. Here, we have taken SSTL (Stub-Series

SSTL Based Energy Efficient ISCAS'99 Benchmark Circuit Design on FPGA
free download

Abstract In this work, we are using frequency scaling as power optimization technique. In frequency scaling, frequency is scaled from 1MHz to 1THz, where intermediate values are 10MHz, 100 MHz, 1 GHz, 10 GHz and 100 GHz. In this paper we have measured the

Coprocessor Design using FPGA
free download

Abstract-The use of the microprocessor, as a tool, has been widely used for the cost reduction and the technological innovations. The programmability of the microprocessor and its speed are the main performance characteristics of the microprocessor. Therefore, to

An FPGA-Based Bolometer For The MAST-Upgrade Super-X Divertor
free download

The MAST-Upgrade (MAST-U) spherical tokamak device under construction at Culham Centre for Fusion Energy (CCFE) will feature a novel Super-X divertor (SXD) configuration target plates [1]. MAST-U will be the first

Exciting FPGA Cryptographic Trojans using Combinatorial Testing
free download

Abstract:Contemporary hardware design shares many similarities with software development. The injection of malicious functionality (Trojans) in FPGA designs is a realistic threat. Established techniques for testing correctness do not cope well with Trojans, since

BRAM and LUTs Based Area and Energy Efficient Signed Up Counter Design on FPGA
free download

ABSTRACT In this work, 4-bit signed up counter is designed using Xilinx 14.6 as a simulator. Verilog is used as verification language and XPower is the power consumption estimator. This design is implemented on Virtex-4 and device XC4VFX12. The IO standard used in

FPGA-Realization of a Multi-Axis Motion Controller
free download

Abstract: A multi-axis motion controller based on FPGA technology is developed in this paper. Firstly, a multi-axis motion system is presented and the servo controller design is described. Secondly, an Altera FPGA (Field Programmable Gate Arrays) chip and an

FPGA-Oriented Design of an FDTD Accelerator Based on Overlapped Tiling
free download

Abstract:In this paper, we introduce the overlapped tiling to designing an FPGA-based FDTD accelerator by using an OpenCL compiler. The OpenCL compiler for FPGA enables us to reduce the design time of the FPGA-based accelerators. However, the FPGA-based

FPGA IMPLEMENTATION OF OFDM TRANSMITTER USING SIMULINK AND XILINX SYSTEM GENERATOR
free download

ABSTRACT Growth in technology has led to unmatched demand for high speed architectures for complex signal processing applications. In 4G wireless communication systems, bandwidth is a precious thing, and service providers are continuously trying to

Improved Controlled Start-up Stochastic LDPC Decoder for Efficient FPGA-based Implementation
free download

Abstract:This paper introduces a new and an improved controlled start-up stochastic (CSS) architecture of Low-Density Parity-Check (LDPC) decoding, to implement fully parallel FPGA- based decoders. The developed architecture uses a new variable nodes structure with

Design and Implementation of a FPGA and DSP Based MIMO Radar Imaging System
free download

Abstract. The work presented in this paper is aimed at the implementation of a real-time multiple-input multiple-output (MIMO) imaging radar used for area surveillance. In this radar, the equivalent virtual array method and time-division technique are applied to make 16

Variable Voltage Variable Frequency Speed Control of Induction Motor Using FPGA-Xilinx
free download

Abstract-In the proposed method, the controlling scheme is made using Xilinx. As v/f method of speed control of induction motor is one of the mostly used methods for speed control. In the simulation all realistic components of the drive system has been included. This

Thermal aware Internet of Things Enable Energy Efficient Encoder Design for Security onFPGA
free download

Abstract In this work, we are going to use thermal aware approach in Encoder design and also testing thermal stability by working on different ambient temperatures 298.15 K, 308.15 K, 318.15 K, 328, 15K, 338.15 K and 348.15 K and 358.15 K. We have observe the

BLUETOOTH BASED WIRELESS HOME AUTOMATION SYSTEM USING FPGA
free download

ABSTRACT With the increasing development of modern technology and Smartphone, smart way of living has turned out to be a major part in the present era of human life. Due to rapid growth in Technology, Bluetooth has brought a revolutionary change. Bluetooth

Pwm Signals Generation with Inconsistent Duty Cycle using FPGA Spartan-3E
free download

Abstract: This paper deals with the PWM signals generation with variable duty cycle using VHDL and its application in field programmable gate arrays. The paper additionally discusses the usage DCM for decrease the clock frequency. DCM may be a digital clock

AND CONTROL OF FUEL BY PRODUCING BROWN GAS BY HHO GENERATORING DEVICE CONTROLLED BY VHDL AND IMPLEMENTING IN FPGA
free download

Fuel optimization plays a vital role all over the world. In this work, there are three major faces: The first face is designing HHO generator assembly which is related to mechanical designs and second face is designing a circuit board for Pressure sensor, Temperature

Design of the Parallel to Serial Communication Conversion System Based on FPGA
free download



Development of an FPGA based Matrix Converter for More Electric Aircraft Applications
free download

The major challenge in the aerospace industry represents the design of technologies and electrically powered systems to improve the performances of tomorrows aircraft. In the last 30 years significant researches are made to increase the overall reliability, availability,

FPGA Implementation of Watermarking Scheme Using XSG
free download

Abstract Now a day's hardware realization of image processing applications using FPGA is an important area of research due to the speed of implementation, low development costs and less time to market. This is due to the parallelism existing in it. In this paper an efficient

Implementation of Self-Checking Carry-Select Adder Based on Two-Rail Encoding in FPGA
free download

ABSTRACT Self-checking carry-select adder design based on two-rail encoding is an efficient method, due to its arithmetic operations and short delay. This paper presents the design of the Compressor based Self-checking carry-select adder design. The circuit can

Implementation of Adaptive Filter using FPGA
free download

Abstract Filtering data in real-time requires dedicated hardware to meet demanding time requirements. Most of the filters work by considering the statistical parameters as constant. But in practical purposes hardly any signal has these parameters constant. If the statistics

An FPGA Architecture for Text Search Using a Wavelet-Tree-Based Succinct-Data-Structure
free download

Abstract:Succinct data structures are introduced to efficiently solve a given problem while representing the data using as little space as possible. The full potential of the succinct data structures have not been utilized in the software-based implementations. This paper

Evaluation of an FPGA-Based Shortest-Path-Search Accelerator
free download

Abstract:Shortest-path search over large scale graphs is widely used in various applications. However, shortest path algorithms such as Dijkstra's algorithm include complex processing. It is difficult for accelerators such as GPUs to accelerate these algorithms

SSTL IO Standard Based Energy Efficient Digital Clock Design on 28nm FPGA
free download

Abstract In this paper we have aimed to have an energy efficient digital clock design. Digital clock is a type of clock that displays time digitally. The code has been implemented in Xilinx ISE Design Suite 14.2 and results were tested on 28nm FPGA platform using Kintex-7

A Design of FPGA-based System for Image Processing
free download

Abstract:We evaluated the performance of a hardware architecture designed to perform a wide range of fast image processing tasks. The system architecture is based on hardware featuring a Field Programmable Gate Array (FPGA) co-processor and a host computer. A

Implementation of AES-256 Encryption Algorithm on FPGA
free download

ABSTRACT The Advanced Encryption Standard can be programmed in software or built with pure hardware. However Field Programmable Gate Arrays (FPGAs) offer a quicker, more customizable solution. In the implementation of this AES-256 algorithm has a plaintext of

Design, comparsion and implementation of multipliers on FPGA (Spartan-3)
free download

ABSTRACT The comparison of different multipliers, such as Array multipliers, Booth multipliers, Wallace tree multiplier and Booth-Wallace tree multiplier has been presented in this paper. The most important criteria for the fabrication of DSP systems and high

An Accurate FPGA-Based Hardware Emulation on Quantum Fourier Transform
free download

Abstract Powerful parallelism is among the leading attractions towards quantum computing research. Before large-scale quantum computers become viable, the use of fieldprogrammable gate array (FPGA) technology which can mimic the behavior of Abstract:Aiming at the problems in G. SHDSL application and main methods of building G. SHDSL system, this paper describes the characteristics of G. SHDSL access technology, and designs G. SHDSL signal reconfigurable system based on FPGA because of its

FPGA Implementation of Low Power Image Scaling using Area and Fuzzy Algorithm
free download

Abstract: Interpolation is one of the widely used in many applications Image processing such as scaling,targeting.target pixel value from surrounding neighborhood known pixels, the quality of Interpolation

FPGA Implementation of Optimized Spiking Neural Network for Efficient Speak recognition System
free download

Abstract A field-programmable gate array (FPGA)-based speech measurement and recognition system is the focus of this paper, and the environmental noise problem is its main concern. To accelerate the recognition speed of the FPGA-based speech recognition

FPGA Implementation Of Hybrid Pulse Width Modulation Strategies For Three Level Inverters
free download

Abstract This paper sprays five hybrid pulse width modulation strategies for three level inverters in FPGA platform. The proposed hybrid-modulation strategy is an amalgamation of fundamental-frequency modulation and any carrier based pulse width modulation (SPWM

FPGA Implementation for Enhancing Image Using Pixel-Based Median Channel Prior
free download

Abstract Poor weather condition such as fog, rain or haze causes problem in getting information from image. As computer vision system extracts features from the scene, it is important that reliable information could be obtained by removing haze. This paper

FPGA implementation of feature extraction based on histopathalogical image and subsequent classification by support vector machine.
free download

Abstract The proposed system is used to detect skin cancer, by features extracted from images of skin lesions through image processing techniques which consisted of median filter then applied Gabor filter bank to improve diagnostic accuracy. Histogram

Fpga-Based High Bandwidth Integral Electron Density Interferometer For Mast-U
free download

The integral electron density (IED) is one of the fundamental plasma parameters in a fusion device. It is used to measure the device performance, acts as a reference for other diagnostics [8] and can be used for machine protection, eg as a feedback for the neutral

FPGA Implementation of Oxygen Level Monitoring in Oxygen Cylinder
free download

Abstract This paper aims at designing an oxygen level monitoring technique in an oxygen cylinder. The amount of oxygen present inside an oxygen cylinder is a very vital information when such cylinder is in use as life saving measure to a critical patient. In this paper, it is

SSTL-based Internet of Things Enable Processor Specific RAM Design on 40nm FPGA
free download

AbSTRAcT In this work, energy-efficient Internet of Things (IoTs)-enable RAM is made. To make it energy efficient, we are using Stub Series Terminated Logic (SSTL) IO standards. We are using the 5 different members of SSTL IO standards family and searching the most

RF MODULE BASED WIRELESS SECURED HOME AUTOMATION SYSTEM USING FPGA
free download

ABSTRACT Smart wireless home automation and security technique is one of the emerging technologies for intelligent building surveillance. Wireless technologies like Bluetooth and Wi-Fi have been used in contemporary home security systems using low cost, low power,

Power Analysis using Measured and Simulated Power Traces based on Hamming Distance Power Model–Attacking 16-bit Integer Multiplier in FPGA
free download

Abstract:In many cases side channel attacks complexity are estimated by considering attack simulations only. Regarding this estimations, parameters of cryptographic devices are set so the attack is infeasible. This work shows that this approach to secure cryptographic

ASTERICS-An Open Toolbox for Sophisticated FPGA-Based Image Processing
free download

Abstract:Image processing on embedded platforms is still a challenging task, especially when implementing extensive computer vision applications. Field-programmable gate arrays (FPGAs) offer a suitable technology to accelerate image processing by customized

Research on Designment of Management System for Power Source Equal-izing Charge Based on FPGA control
free download

Abstract: The accumulator can store the energy in high capacity, and the super capacitor can charge and discharge in high power. The mixed power source composed by the accumulator and super capacitor not only has the characteristics for both of them but also The up-to-day state of the art in the computer science is characterized by three major factors. The first factor is a development of ultra complex VLSI such as system-on-programmable chips having billions of transistors and hundreds of millions of equivalent gates. The

High-level synthesizable dataflow mapreduce accelerator for fpga-coupled data centers
free download

Abstract:Manipulating big-data entries of emerging server workloads requires a design paradigm shift towards more aggressive system-level architecture solutions. From software perspective, the MapReduce framework is a prominent parallel data processing tool as

QUICK EXPERIMENT AUTOMATION MADE POSSIBLE USING FPGA IN LNLS
free download

Abstract Beamlines at LNLS are being modernized to use the synchrotron light as efficiently as possible. As the photon flux increases, experiment speed constraints become more visible to the user. Experiment control has been done by ordinary computers, under a

An Implementation of Morphological Binary Image Processing Using FPGA
free download

Abstract Field Programmable Gate Array (FPGA)target for the implementation of real time algorithms suited to video image processing applications. A MATLAB graphical user interface allows the designer to open the image to be processed,

An adaptive industrial boiler automation application using FPGAGSM
free download

Abstract The revolution of automation on factory floors is a key driver for the more demand for higher productivity, lower total cost of ownership, and high safety. As a result, industrial applications drive a more demand of higher data bandwidth and higher system-level

DESIGN AND IMPLEMENTATION OF TRACKING RECEIVER REMOTE TERMINAL FPGA CARD FOR SAT-4
free download

SAT-4 is an advanced communication satellite with multi spot beam antenna. An on-board RF tracking system is required to accurately point the on-board antenna to ground. This on- board tracking system will collect the beacon carriers transmitted by uplink ground beacon

A Fast Trace Based Spiral Search Architecture for Motion Estimation and its Implementation Using FPGA
free download

Abstract H. 264/AVC offer many advanced coding tools to achieve higher compression ratio up to 50% more than the other previous standards. These coding tools substantially increase the computational complexity of the Motion Estimation (ME) which consumes up Three-dimensional integrated circuits (3D-ICs) design has become a major driving force in the modern VLSI design and manufacturing technology. It provides the best platform for design and manufacturing of high density and high performance chips and the field is

FPGA-based flexible hardware architecture for image interest point detection
free download

Abstract An important challenge in computer vision is the implementation of fast and accurate feature detectors, as they are the basis for high-level image processing analysis and understanding. However, image feature detectors cannot be easily applied in

SPWM GENERATOR BASED ON FPGA FOR HIGH SWITCHING FREQUENCY DC/AC INVERTERS
free download

The digital implementation of Sinusoidal Pulse Width Modulation (SPWM) generators have dominated over their counterparts based on analog circuits. Here an FPGA based SPWM generator is implemented, which is capable to support the high switching frequencies

Studies on the Necessity to Integrate the FPGA (Field Programmable Gate Array) Circuits in the Digital Electronics Lab Didactic Activity
free download

Abstract:The FPGA (Field Programmable Gate Array) circuits contain programmable logic components and are increasingly popular in implementing the applications for obtaining and processing signals. FPGA represents a modern development trend in digital electronics.

Reduction of Harmonics Exploitation FPGA Based Shunt Active Filter with PEMFC
free download

ABSTRACT In this paper the Total Harmonic Distortion is reduced using a FGPA based shunt active power filter with Proton Exchange Membrane Fuel cell. The Shunt active power filter is implemented with PWM based current controlled voltage source inverter where the

Compact Implementation of SHA3-1024 on FPGA
free download

ABSTRACT SHA3 algorithm had proposed by five people with five different approaches. In that NIST (National Institute of Standards and Technology) selected one approach. that approach proposed by Keccak. after that Keccak sha3 algorithm using with memories but

I/O StandardsBased on Green Communication UsingFibonacci GeneratorDesign on FPGA
free download

Abstract In this paper LVCMOS, HSLVDCI, HSTL, LVDCI_DV2 and SSTL Input/output standard is used for the design of Green Fibonacci generator on 40nm FGPA to generate key for Wi-Fi Protected Access in order to make energy efficient communication. In naming

FPGA BASED VLSI ARCHITECTURE FOR IMAGE REGISTRATION AND FUSION OF MULTIMODAL IMAGES FOR SURVEILLANCE APPLICATIONS
free download

Abstract Image fusion is the process of combining images from different sensors, viewpoints or foci to form a composite image without introducing any artifacts. The sensors can have different characteristics like viewpoints, focus or are from different sensors like visible light

Design FPGA-Based Chattering-free Sliding Mode Controller for PUMA Robot Manipulator
free download

Abstract Design of a robust controller for multi input-multi output (MIMO) nonlinear uncertain dynamical system can be a challenging work. This research focuses on the design and analysis of a high performance chattering free PD plus PD partly sliding mode controller in

targets
free download

Abstract: This work aims to introduce a design methodology of Time-to-Digital Converters (TDCs) on low cost Field-Programmable Gate Array (FPGA)targets. First, the paper illustrates how to take advantage of the presence of carry chains in elementary logic

FPGA Implementation of FFT using CORDIC Processor for Fingerprint Application
free download

Abstract:Fingerprint applications are widely used to identify person's authenticity. It is an important part of Biometric system which is widely accepted because of its accuracy. The frequency domain analysis of fingerprint plays an important role for authentication of noisy

The Long-distance Reconfiguration Design of FPGA Based on ARM
free download

Abstract: The task of this design is using the developing embedded technique, ARM to configurate FPGA, so that the reconfiguration of FPGA can without dedicated configuration software, and the configuration of FPGA can no longer to connect with a computer. Save

C-GEP: 100 Gbit/s Capable, FPGA-based, Reconfigurable Networking Equipment
free download

Abstract:Programmable networking platforms are in the spotlight since the advent of SDN (Software Defined Networking). It is a great challenge to create such a platform-especially with reconfigurable hardware and line-rate capabilities reaching and exceeding 100 Gbit/

Symbol Synchronization for SDR Using a Polyphase Filterbank Based on an FPGA
free download

This paper is devoted to the proposal of a highly efficient symbol synchronization subsystem for Software Defined Radio. The proposed feedback phase-locked loop timing synchronizer is suitable for parallel implementation on an FPGA. The polyphase FIR filter

FPGA Design of Low Power Launch-Off-Shift and Launch-Off-Capture Testing
free download

Abstract At-speed or even faster-than-at-speed testing of VLSI circuits aims for highquality targeting performance-related faults. On one hand, a compact test set with highly effective patterns, each detecting multiple delay faults, is desirable for

Optimizing FPGA based Fixed-point Multiplier using Embedded Primitive and Macro-support
free download

Abstract The multiplier circuit is an important component in digital signal processing. The increasing cost of silicon technology has put a lot of pressure on developing dedicated SoC solutions for DSP systems and has typically cornered such solutions to high volume

FPGA Digital Music Synthesizer
free download

Abstract This project comprised the development of a digital music synthesizer capable of performing subtractive synthesis with several stages of audio effects processing. The system was implemented on the Zedboard, a development board with a Xilinx Zynq System-on-

Wind Turbine Emulator Development Using Labview FPGA
free download

ABSTRACT The paper presents a Hardware in the Loop (HIL) emulator for a wind turbine system, developed for the laboratory testing of a PMSM generator and the associate power electronics and control integrated in a microgrid laboratory. The emulator includes: a Lab

Integration of Sigma Delta ADC with Sine Filter on FPGA
free download

Abstract: This study presents the architecture of FPGA based Sigma Delta ADC (SD ADC) utilizing higher integration of noise-shaper modulator and a sinc filter. The noise- shaper modulator employed the Low Voltage Differential Signaling (LVDS) as a

INTEGRATION AND IMPLIMENTATION SYSTEM-ON-A-PROGRAMMABLE-CHIP (SOPC) INFPGA.
free download

ABSTRACT The real-time hardware application is developed around a FPGA hardware architecture that includes embedded processor MicroBlaze on the field programmable gate array (FPGA). This paper introduces a design of a Micro Blaze soft-core processor system

FPGA BASED IMPLEMENTATION OF RATIO HISTOGRAM
free download

ABSTRACT Now days, in field of image processing revolutionary changes are taking place. Therefore, it is fascinating to the researchers to undertake the research work in this field. Image processing has jargon of applications with wide scope. Ratio histogram is one of

Video Chain Demonstrator on Xilinx Kintex7 FPGA with EdkDSP Floating Point Accelerators
free download

Abstract:this paper briefly describes basic Kintex7 FPGA video pipe infrastructure for UTIA demonstrator in the ARTEMIS JU project ALMARVI. The video pipeline is combined with the run-time reprogrammable vector floating point EdkDSP accelerators on the same FPGA

MONOPULSE DIGITAL RECEIVER SAMPLING 600 MHZ INTERMEDIATE FREQUENCY FOR TRACKING RADAR IN FPGA
free download

ABSTRACT In the present research paper shows how to implement monopulse digital receiver in efficient way with sampling 600 MHz Intermediate Frequency (IF), Digital Down Conversion (DDC), Direct IQ generation and Digital Pulse Compression (DPC) for tracking

Small FPGA-Based Multiplication-Inversion Unit for Normal Basis over GF (2m)
free download

Page 1. Small FPGA-Based Multiplication-Inversion Unit for Normal Basis over GF(2m)

FPGA-Based MWD for Network Error Correction
free download

Abstract: This paper presents reconfigurable hardware architecture for MWD (Minimum Weight Decoding) algorithm for network error correction, with high throughput on Field Programmable Gate Array (FPGA). Network Error Correction (NEC) is used for detecting

A Dynamically Reconfigurable Equi-Joiner on FPGA
free download

Abstract:As the increase of clock frequencies has slowed, special purpose hardware circuits are becoming increasingly important to accelerate the performance of computing systems. In this context, FPGAs offer advantages over hardcoded ASICs, since FPGAs

AN OPTIMIZED IMPLEMENTATION OF LMS ADAPTIVE FILTER WITH LOW ADAPTATION DELAY IN FPGA TECHNOLOGY
free download

Abstract: In this paper, the fir filter is proposed an efficient multiplication stage technique. To investigate the area, speed, power tradeoffs for implementation of FIR filters using MCM and digit-serial arithmetic. Multiple constant multiplications (MCM) are an efficient way of

ANALYSIS AND IMPLEMENTATION OF THE LMS ADAPTIVE ALGORITHM IN FPGATECHNOLOGY
free download

Abstract: In this paper, the fir filter is proposed an efficient multiplication stage technique. To investigate the area, speed, power tradeoffs for implementation of FIR filters using MCM and digit-serial arithmetic. Multiple constant multiplications (MCM) are an efficient way of

FPGA Evaluation of Wave Front Allocator for crossbar based on-chip switches
free download

Abstract Multiprocessor system-on-chip (MP-SoC) are emerging as an important trend for SoC design. Network on chip (NoC) has been proved to be efficient in handling challenging ultra-high data rates in such systems. NoC uses many high performance internetworking

IMPLEMENTATION ON FPGA WITH RAM AS SLAVE BY USING I2C
free download

This paper implements serial data communicationusing I2C (Inter-Integrated Circuit) master controller using a Field Programmable Gate Array (FPGA). Itprovides a designer a foundation from which the user can customize the I˛C Master Controller to meet a

VARIABLE PRECISION FLOATING POINT MULTIPLICATION, DIVISION AND SQUARE ROOT IMPLEMENTATION ON FPGA USING VHDL LANGUAGE
free download

Abstract: Computers were originally built as fast, reliable and accurate computing machines. It does not matter how large computers get, one of their main tasks will be to always perform computation. Most of these computations need real numbers as an essential category in

PONG GAME ON AN FPGA DEVELOPMENT BOARD USING A COMPUTER SCREEN AS DISPLAY.
free download

This paper presents the creation of the Pong game running on an FPGA with a computer display connected to it. The game is optimized to work on both LCD and CRT displays too. The game is implemented from scratch, it has the two pads (one read and one blue)

FPGA Controlled Simplified H-Bridge Multilevel Inverter
free download

Abstract:the multilevel inverter is recently applied in many industrial applications such as ac power supplies, static var compensators, drive system, etc. One of the significant advantages of multilevel configurations is the harmonic reduction in the output waveform

FPGA Based Reconfigurable Logic Blocks to Obtain Robust and Secured Images
free download

Abstract: The purpose of the system is to (i) implement a reconfigurable FPGA based computing architecture with design objectives such as high performance, specialization and adaptability. FPGA can offer both the flexibility of computer software and ability to construct

The Modeling and Hardware Implementation of Semiconductor Circuit Elements by Using ANN and FPGA
free download

The field programmable gate array (FPGA)-based approach employs the advantages of both hardware and software models. FPGAs have many consistent advantages such as reliable, flexible, fast response rapid prototyping, adaptation, reduced cost, simplicity of design and

Neural Spike Detection and Alignment on the Gidel ProcStar IV FPGA Platform
free download

Abstract Modern advances in neurological research and brain mapping equipment are generating increasingly large volumes of neural data for analysis. Consequently there is a growing demand for high-speed neural data processing tools, necessitating the use of

FPGA Implementation of Frequency Dividers in Vocational Education
free download

Abstract This paper present a group of practical activities which was designed to improve practical activities in teaching frequency dividers in VET using a tool named ProjectX.

Efficient Realization of JPEG Encoder for Image Compression on FPGA
free download

Abstract: Images form the significant part of data, particularly in remote sensing, biomedical and video conferencing applications. A digital image bitmap can contain considerably large amounts of data causing exceptional overhead in both computational complexity as well

FPGA Implementation of PI/2 BPSK and PI/4 QPSK for IEEE 802.15. 6 WBAN Standard.
free download

Abstract: This paper presents FPGA based simulation results for digital modulation schemes PI/2 BPSK and PI/4QPSK. Digital modulation is less complex, more secure and more efficient in long distance transmission. The noise detection and correction in digital is

FPGA Implementation of Point Processing Operation using Hardware Simulation
free download

Abstract: Application areas of signal processing have grown dramatically in importance in recent times, in parallel with the growth of powerful and low-cost processing chips. This has led, in turn, to many new applications, including multimedia delivery and hand-held

The Efficient Implementation of Numerical Integration for FPGA Platforms
free download

Abstract:The paper deals with the study of numerical techniques which are used for computing the approximate solutions of infinite integrals. These techniques are software oriented. It is necessary to develop a hardware oriented solution which asses the

Design and implementation of high speed 8 bit Vedic multiplier on FPGA
free download

Abstract:-In high speed digital signal processing units arithmetic logic units, multiplier and accumulate units, the multipliers are use as the key block. A systems performance is generally determined by the speed of the multiplier since multiplier is one of the key

AREA DELAY POWER EFFICIENT FM0/MANCHESTER ENCODING USING SOLS TECHNIQUE INFPGA TECHNOLOGY
free download

Abstract: In this paper we studied the implementation of Manchester coding is being described. Manchester coding technique is a digital coding technique in which all the bits of the binary data are arranged in a particular sequence. The Intersil HD-15530 is a high

HW/SW Co-design and Implementation of a Fountain Code for an FPGA System-on-Chip
free download

Abstract Wireless communication traffic has increased significantly over the past few decades. Communication via noisy and unreliable channel can lead to data loss or erroneous data information. This problem can be resolved using a back channel that

Design and Implementation of Low Consumption FIR Bandpass Filters for Matching Biological Data with FPGA
free download

Abstract:Biological Sequences (protein or DNA) are vital in Bioinformatics. These like string adaptation in context of biologics data and a set of protein or DNA sequences are used for discovering evolutionary relationship. In this study we present FIR bandpass

FPGA firmware framework for MTCA. 4 AMC modules
free download

Abstract Many of the modules in specific hardware architectures use the same or similar communication interfaces and IO connectors. MicroTCA (MTCA. 4) is one example of such a case. All boards: communicate with the central processing unit (CPU) over PCI Express (

Implementation of UART with BIST Technique in FPGA
free download

Abstract: A new fault coverage test pattern generator using a linear feedback shift register (LFSR) called FC-LFSR can perform fault analysis and reduce the power of a circuit during test by generating three intermediate patterns between the random patterns by reducing

FPGA Implementation of Guided Filter using Soft core Processor
free download

Abstract:Filtering is widely used in image and video processing for various applications. Recently, the guided filter has been proposed and became one of the popular filtering methods. Although a bilateral filter has a good edge-preserving characteristic, it has been

Stoplight Detection and Image Processing with FPGA
free download

Abstract This project focuses on real-time stoplight detection for advanced driver assistance system using a Field Programmable Gate Array (FPGA). The main algorithms include Color Filtration, Blob Detection, and Histogram Analysis. In order to reduce the computational

Generic Functional Blocks for FPGA-based Network Nodes
free download

Abstract At ETH an FPGA-based prototype platform called EmbedNet has been developed which implements a flexible protocol stack architecture for network devices. The functional blocks of this protocol stack can be dynamically interconnected and individually mapped

A Reconfigurable Area Time Optimized FPGA using APC and OMS Algorithms
free download

Abstract: An asynchronous FPGA architecture based on autonomous fine-grain power gating with small overheads has been introduced in this project. This paper presents to design a reconfigurable low power Asynchronous FPGA cells with less area over head.



CSE PROJECTS

FREE IEEE PAPER AND PROJECTS

FREE IEEE PAPER