free research papers-vlsi testing lab



hen-Wei Lin, Mango C.-T. Chao, and Yen-Shih Huang, “A Novel Pixel Design for AM-OLED Displays Using Nanocrystalline Silicon TFTs”, research Transactions on Very Large Scale Integration Systems (TVLSI) (regular paper) (EI/SCI), 2010

Chien-Pang Lu, Mango C.-T. Chao, Chen-Hsing Lo, Chih-Wei Chang, “A Metal-Only-ECO Solver for Input-Slew and Output-Loading Violations”, research Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems (TCAD) (transactions brief) (EI/SCI), Vol. 29, Issue 2, 2010

Yu-Ze Wu, Mango Chia-Tso Chao, “Scan-Cell Reordering for Minimizing Scan-Shift Power Based on Non-Specified Test Cubes”, ACM Transactions on Design Automation of Electronic Systems (TODAES) (regular paper) (EI/SCI), Vol. 16, Issue 1, Nov. 2010.

Mango C.-T. Chao, Ching-Yu Chin, Yao-Te Tsou, Chi-Min Chang, “A Novel Test Flow for One-Time-Programming Applications of NROM Technology”, accepted by research Transactions on Very Large Scale Integration Systems (TVLSI) (regular paper) (EI/SCI), accepted.

Tseng-Chin Luo, Mango C.-T. Chao, Michael S.-Y. Wu, Kuo-Tsai Li, Chin. C. Hsia, Huan-Chi Tseng, Philip A. Fisher, Chuen-Uan Huang, Yuan-Yao Chang, Samuel C. Pan, Konrad K.-L, “A novel array-based test methodology for local process variation monitoring”, research Transactions on Semiconductor Manufacturing (regular paper) (EI/SCI), accepted.

Szu-Pang Mu, Willy Wang, Hao-Yu Yang, Mango C.-T. Chao, Shi-Hao Chen, Cheng-Hong Tsai, Chih-Mou Tseng,“Testing Methods for Detecting Stuck-open Power Switches in Coarse-Grain MTCMOS Designs”, to be appearing at ACM/research International Conference on Computer Aided Design (ICCAD), 2010

Mango C.-T. Chao, Ching-Yu Chin, Chen-Wei Lin, “Mathematical Yield Estimation for Two-Dimensional-Redundancy Memory Arrays”, to be appearing at ACM/research International Conference on Computer Aided Design (ICCAD), 2010

Chen-Wei Lin, Hung-Hsin Chen, Hao-Yu Yang, Mango C.-T. Chao, and Rei-Fu Huang, “Fault Models and Test Methods for Subthreshold SRAMs”, to be appearing at research International Test Conference (ITC), 2010

Tseng-Chin Luo, Eric Leong, Mango C.-T. Chao, Philip A. Fisher, and Wen-Hsiang Chang, “Mask versus Schematic – An Enhanced Design-Verification Flow for First Silicon Success”, to be appearing at research International Test Conference (ITC), 2010

Szu-Pang Mu and Mango C.-T. Chao, “Theoretical Analysis for Low-Power Test Decompression Using Test-Slice Duplication”, research VLSI Test Symposium (VTS), pp. 147-152, 2010

Tsun-Ming Tseng, Mango C.-T. Chao, Chien-Pang Lu, Chen-Hsing Lo, “Power-Switch Routing for Coarse-Grain MTCMOS Technologies”, ACM/research International Conference on Computer Aided Design (ICCAD), 2009

Ching-Yu Chin, Yao-Te Tsou, Chi-Min Chang, Mango C.-T. Chao, “A Novel Test Flow for One-Time-Programming Applications of NROM Technology”, research International Test Conference (ITC), 2009

Tseng-Chin Luo, Mango C.-T. Chao, Michael S.-Y. Wu, Kuo-Tsai Li, Chin. C. Hsia, Huan-Chi Tseng, Chuen-Uan Huang, Yuan-Yao Chang, Samuel C. Pan, Konrad K.-L. Young, “A novel array-based test methodology for local process variation monitoring”, research International Test Conference (ITC), 2009

Mango C.-T. Chao, Hao-Yu Yang, Rei-Fu Huang, Shih-Chin Lin, Ching-Yu Chin, “Fault Models for Embedded-DRAM Macros”, ACM/research Design Automation Conference (DAC), 2009

Meng-Jai Tasi, Mango C.-T. Chao, Jing-Yang Jou, Meng-Chen Wu, “Multiple-Fault Diagnosis Using Faulty-Region Identification”, research VLSI Test Symposium (VTS), 2009

Chien-Pang Lu, Mango C.-T. Chao, Chen-Hsing Lo, Chih-Wei Chang, “A Metal-Only-ECO Solver for Input-Slew and Output-Loading Violations”, ACM/research International Symposium on Physical Design (ISPD), 2009

Chi-Min Chang, Mango C.-T. Chao, Rei-Fu Huang, Ding-Yuan Chen, “Testing Methodology of Embedded DRAMs”, research International Test Conference (ITC), pp. 1-9, 2008

Yu-Ze Wu, Mango Chia-Tso Chao, “Scan-Chain Reordering for Minimizing Scan-Shift Power Based on Non-Specified Test Cubes”, research VLSI Test Symposium (VTS), pp. 147-154, 2008

Mango Chia-Tso Chao, Kwang-Ting Cheng, Seongmoon Wang, Srimat T. Chakradhar, Wenlong Wei, “A Hybrid Scheme for Compacting Test Responses with Unknown Values”, ACM/research International Conference on Computer Aided Design (ICCAD), 2007

Mango Chia-Tso Chao, Seongmoon Wang, Srimat T. Chakradhar, Wenlong Wei, Kwang-Ting Cheng, “Unknown-Tolerance Analysis and Test-Quality Control for Test Response Compaction using Space Compactors”, ACM/research Design Automation Conference (DAC), pp. 1083-1088, 2006

Mango Chia-Tso Chao, Seongmoon Wang, Srimat T. Chakradhar, Wenlong Wei, Kwang-Ting Cheng, “Coverage Loss by Using Space Compactors in Presence of Unknown Values”, ACM/research Design, Automation and Test in Europe Conference and Exhibition (DATE), 2006

Mango Chia-Tso Chao, Seongmoon Wang, Srimat T. Chakradhar, Kwang-Ting Cheng, “ChiYun Compact: A Novel Test Compaction Technique for Responses with Unknown Values”, research International Conference on Computer Design (ICCD), pp. 147-152, 2005

Mango Chia-Tso Chao, Seongmoon Wang, Srimat T. Chakradhar, Kwang-Ting Cheng, “Response Shaper: A Novel Technique To Enhance Unknown Tolerance for Output Response Compaction”, ACM/research International Conference on Computer Aided Design (ICCAD), pp. 80-87, 2005

Guang-Ming Wu, Mango Chia-Tso Chao, Yao-Wen Chang, “A clustering- and probability-based approach for time-multiplexed FPGA partitioning”, in Integration: The VLSI Journal, Vol. 38, Issue 2, pp. 245-265, December 2004

Mango Chia-Tso Chao, Li-C. Wang, Kwang-Ting Cheng, “Static Statistical Timing Analysis for Latch-Based Pipeline Designs”, ACM/research International Conference on Computer Aided Design (ICCAD), pp. 469-472, 2004

Mango Chia-Tso Chao, Li-C. Wang, Kwang-Ting Cheng, “Pattern Selection for Testing of Deep Sub-Micron Timing Defects”, ACM/research Design, Automation and Test in Europe Conference and Exhibition (DATE), pp. 1060-1065 Vol.2, 2004

Guang-Ming Wu, Jai-Ming Lin, Mango Chia-Tso Chao, and Yao-Wen Chang, “Generic ILP-based Approaches for Time-multiplexed FPGA Partitioning”, research International Conference on Computer Design (ICCD), pp. 335-347, 2001

Mango Chia-Tso Chao, Guang-Ming Wu, Iris Hui-Ru Jiang, Yao-Wen Chang, “A Clustering- and Probability-based Approach for Time- multiplexed FPGA”, ACM/research International Conference on Computer Aided Design (ICCAD), pp 364-369, 1999

Free download research paper


CSE PROJECTS

FREE IEEE PAPER AND PROJECTS

FREE IEEE PAPER