vlsi research papers 2012-101

vlsi research papers 2012-101





Analysis and VLSI Implementation of DIP Based Control and Monitoring of Various Physical Parameters in Process Industry
free download

ABSTRACT Process industries require supervisory control and data acquisition systems (SCADA) or distributed control systems (DCS) depending upon geographic distribution of the plant instrumentation. Remote operation of the hazardous field needs quite a good

VLSI Implementation of Heterogeneous Adder for Performance Optimization
free download

ABSTRACT An Adder is one of the significant hardware blocks in most digital systems such as digital signal processors and microprocessors etc. Over the last few decades lot of research have been carried out in order to design an efficient adder circuits in terms of

LEAKAGE POWER OPTIMIZED SEQUENTIAL CIRCUITS FOR USE IN NANOSCALE VLSISYSTEMS
free download

ABSTRACT As the density and operating speed of CMOS VLSI chips increases, leakage power dissipation becomes more and more significant. Therefore it is necessary to reduce the leakage power of portable battery operated devices. This paper proposes three power

Replacing design rules in the VLSI design cycle
free download

We make a case for the migration of Design Rule Check (DRC), the first step in the modern VLSI design process, to a model-based system. DRC uses a large set of rules to determine permitted designs. We argue that it is a legacy of the past: slow, labor intensive, ad-hoc, inaccurate

VLSI design and implementation of MIMOOFDM system for wireless communications
free download

ABSTRACT In this paper a VLSI design of a MIMO-OFDM system is presented. We start with the design of an OFDM physical layer that follows the IEEE standard 802.11 a. We then devise an efficient pipelined architecture, and incorporate it into the MIMO-OFDM physical layer.

VLSI Design for Low Power Multiplier using Full Adder
free download

ABSTRACT Low power VLSI circuits have become important criteria for designing the energy efficient electronic designs for high performance and portable devices. The multipliers are the main key structure for designing an energy efficient processor where a multiplier

A Novel Leakage Power Reduction technique for CMOS VLSI Circuits
free download

ABSTRACT In recent years, with shrinking of device technologies, leakage power (static power) dissipation has become an inevitable proportion of the total power dissipation in an integrated circuit. The leakage power dissipation is projected to grow exponentially during

Efficient Mathematical Model on VLSI Circuit Partitioning
free download

ABSTRACT With the advancement of technology, there is need to develop alternative approaches to classical problems. After powerful mathematical modeling of new approach to classical problems, it is necessary to verify and validate the modeling by well formed

Area Efficient and Low Power VLSI Architecture of Min-Sum LDPC Codes using Wave-Pipelining
free download

ABSTRACT The superior error correction properties of LDPC (Low Density Parity Check) codes have gained great interest in the research fields. LDPC codes have wide applications in various fields like MIMO OFDM, WLAN, etc. This paper presents the study of Wave

VLSI Implementation of a Demand mode Dual Chamber Rate Responsive Cardiac Pacemaker
free download

Page 1. VLSI Implementation of a Demand mode Dual Chamber Rate Responsive Cardiac Pacemaker  National Institute of Technology, Rourkela Session 2011-2012 Page 2. VLSI Implementation of a Demand mode Dual Chamber Rate Responsive Cardiac Pacemaker

A Lifting Based Wavelet Transform VLSI Architecture for Image Processing
free download

The discrete wavelet transform (DWT) is being increasingly used for image coding. This is due to the fact that DWT supports features like progressive image transmission (by quality, by resolution), ease of compressed image manipulation. DWT has traditionally been

Investigation of Fast Switched CMOS Inverter using 180nm VLSI Technology
free download

ABSTRACT Inverter is truly the nucleus of electronics industry. It is the main building block of everyday appliances ie microwaves, power tools, battery chargers, air conditioners and computers etc. In this paper, CMOS technology has been chosen to study the transient

ELE-863 VLSI Systems
free download

Page 1. ELE-863 VLSI Systems Interconnects Fei Yuan, Ph.D, P.Eng.  The materials covered in this chapter are an essential part of the 4th-year elective course ELE-863 VLSI Systems offered by the Department of Electrical and Computer Engineering at Ryerson

High Performance VLSI Architecture for FIR Filter using on the Fly Conversion Multiplier
free download

ABSTRACT Many DSP systems are produced in very large numbers and require high performance circuits with respect to throughput and power consumption. The paper is focused on the design of an efficient VLSI architecture for FIR filters which aims at

VLSI Design of Low Power High Speed 4 Bit Resolution Pipeline ADC In Submicron CMOS Technology
free download

ABSTRACT Analog-to-digital converters (ADCs) are key design blocks and are currently adopted in many application fields to improve digital systems, which achieve superior performances with respect to analog solutions. Application such as wireless

VLSI Architecture of Digital Auditory Filter for Speech Processor of Cochlear Implant
free download

ABSTRACT Digital VLSI implementation of an auditory filter for speech processor of cochlear implant (CI) is proposed. Optimized design for hardware implementation of the filter with respect to area, power and speed is the significant criterion for the implementation of

VLSI Micro-Architectures for High-Radix Crossbars
free download

ABSTRACT The crossbar is the most popular switch for digital systems such as Internet routers, clusters, and multiprocessors (on-chip, as well as multichip). However, because the cost of the crossbar grows with the square of the radix thereof, and because of past

A NEW VLSI ARCHITECTURE OF PARALLEL MULTIPLIER BASED ON RADIX-4 MODIFIED BOOTH ALGORITHM USING VHDL
free download

ABSTRACT Low power consumption and smaller area are some of the most important criteria for the fabrication of DSP systems and high performance systems. Optimizing the speed and area of the multiplier is a major design issue. However, area and speed are usually

Digital very-large-scale integration (VLSI) Hopfield neural network implementation on field programmable gate arrays (FPGA) for solving constraint satisfaction
free download

This paper discusses the implementation of Hopfield neural networks for solving constraint satisfaction problems using field programmable gate arrays (FPGAs). It discusses techniques for formulating such problems as discrete neural networks, and then it

ANALYSIS OF HIGH PERFORMANCE VLSI FOR TELECOMMUNICATION DATA
free download

ABSTRACT Compact encryption and decryption solutions are needed to protect sensible data especially for embedded hardware applications. This paper proposes an efficient solution to combine Rijindael Encryption and Decryption in one FPGA design, with strong focus on

Low Power VLSI System Design
free download

and Computer Engineering – University of Illinois at Urbana-Champaign  • Novel Many-Core Architectures for Energy-Efficiency – trading-off the processor aging (or wear-out) rate for

Power and Area Optimization for Pipelined CORDIC Processor Architecture in VLSI
free download

ABSTRACT CORDIC (Coordinate Rotation Digital Computer) is a class of shift add algorithms for rotating vectors in a plane, which is usually used for the calculation of trigonometric functions, multiplication, division and conversion between binary and mixed

Design of Analog VLSI Architecture for DCT
free download

ABSTRACT When implementing real-time DSP algorithms on digital circuits, the system is always constrained by limited speed, accuracy and round off noise. These limitations must be taken into account for the design and implementation stages. Doubling the dynamic

ARCHITECTURE IN VLSI DESIGN
free download

ABSTRACT Designing high-speed multipliers with low power and regular in layout have substantial research interest. The analysis is done on the basis of certain performance parameters ie Area, Speed and Power consumption and dissipation. Multipliers are

VLSI Implementation of 2-D DCT and Quantization processor for JPEG Image Compression
free download

ABSTRACT Data (image) compression is the reduction or elimination of redundancy in data representation in order to achieve reduction in storage and communication cost. Many algorithms and VLSI architectures for the fast computation of DCT have been proposed [

Efficient VLSI Implementation of DES and Triple DES Algorithm with Cipher Block Chaining concept using Verilog and FPGA
free download

ABSTRACT In this paper, Data Encryption Standard (DES) and Triple Data Encryption Standard (TDES) algorithm and their efficient hardware implementation in cyclone II Field Programmable Gate Array (FPGA) is analyzed with the help of Cipher Block Chaining (

FPGA Implementation of Low Complexity VLSI Architecture for DS-CDMA Communication System
free download

Abstract The principal goal of this research work is focused on designing and then testing the performance of source and channel coding and decoding circuits implemented on FPGA for Code Division Multiple Access (CDMA) Transceiver using extremely simple

Related

vlsi research papers 2012

vlsi research papers 2012-102 CSE PROJECTS

FREE IEEE PAPER AND PROJECTS

FREE IEEE PAPER